From 87969ef859e23d90efbd29f3f3a69379d1e9dacf Mon Sep 17 00:00:00 2001 From: astoria-d Date: Fri, 14 Feb 2014 19:32:16 +0900 Subject: [PATCH] sdram controller OK!! --- tools/qt_proj_test5/qt_proj_test5.qsf | 318 +++++--- tools/qt_proj_test5/sdram-test.stp | 977 ++++++++++++++--------- tools/qt_proj_test5/sdram-test_auto_stripped.stp | 973 +++++++++++++--------- tools/qt_proj_test5/sdram_controller.vhd | 24 +- tools/qt_proj_test5/sdram_rw.vhd | 57 +- 5 files changed, 1424 insertions(+), 925 deletions(-) diff --git a/tools/qt_proj_test5/qt_proj_test5.qsf b/tools/qt_proj_test5/qt_proj_test5.qsf index bc73d6b..389d0b2 100644 --- a/tools/qt_proj_test5/qt_proj_test5.qsf +++ b/tools/qt_proj_test5/qt_proj_test5.qsf @@ -221,133 +221,199 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -t set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to green_led -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to red_led -section_id auto_signaltap_0 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "sdram_rw:sdr_rw|clk_i" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "sdram_rw:sdr_rw|cmd_ack" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "sdram_rw:sdr_rw|cmd_done" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "sdram_rw:sdr_rw|cur_st_o[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "sdram_rw:sdr_rw|cur_st_o[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "sdram_rw:sdr_rw|cur_st_o[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "sdram_rw:sdr_rw|cur_st_o[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "sdram_rw:sdr_rw|mem_val_o[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "sdram_rw:sdr_rw|mem_val_o[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "sdram_rw:sdr_rw|mem_val_o[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "sdram_rw:sdr_rw|mem_val_o[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "sdram_rw:sdr_rw|mem_val_o[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "sdram_rw:sdr_rw|mem_val_o[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "sdram_rw:sdr_rw|mem_val_o[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "sdram_rw:sdr_rw|mem_val_o[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "sdram_rw:sdr_rw|mem_val_o[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "sdram_rw:sdr_rw|mem_val_o[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "sdram_rw:sdr_rw|mem_val_o[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "sdram_rw:sdr_rw|mem_val_o[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "sdram_rw:sdr_rw|mem_val_o[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "sdram_rw:sdr_rw|mem_val_o[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "sdram_rw:sdr_rw|mem_val_o[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "sdram_rw:sdr_rw|mem_val_o[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "sdram_rw:sdr_rw|sdram_val_o[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "sdram_rw:sdr_rw|sdram_val_o[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "sdram_rw:sdr_rw|sdram_val_o[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "sdram_rw:sdr_rw|sdram_val_o[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "sdram_rw:sdr_rw|sdram_val_o[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "sdram_rw:sdr_rw|sdram_val_o[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "sdram_rw:sdr_rw|sdram_val_o[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "sdram_rw:sdr_rw|sdram_val_o[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "sdram_rw:sdr_rw|sdram_val_o[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "sdram_rw:sdr_rw|sdram_val_o[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "sdram_rw:sdr_rw|sdram_val_o[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "sdram_rw:sdr_rw|sdram_val_o[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "sdram_rw:sdr_rw|sdram_val_o[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "sdram_rw:sdr_rw|sdram_val_o[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "sdram_rw:sdr_rw|sdram_val_o[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "sdram_rw:sdr_rw|sdram_val_o[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "sdram_rw:sdr_rw|wbm_ack_i" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "sdram_rw:sdr_rw|wbm_adr_o[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "sdram_rw:sdr_rw|wbm_adr_o[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "sdram_rw:sdr_rw|wbm_adr_o[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "sdram_rw:sdr_rw|wbm_adr_o[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "sdram_rw:sdr_rw|wbm_adr_o[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "sdram_rw:sdr_rw|wbm_adr_o[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "sdram_rw:sdr_rw|wbm_adr_o[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "sdram_rw:sdr_rw|wbm_adr_o[16]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "sdram_rw:sdr_rw|wbm_adr_o[17]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "sdram_rw:sdr_rw|wbm_adr_o[18]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "sdram_rw:sdr_rw|wbm_adr_o[19]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "sdram_rw:sdr_rw|wbm_adr_o[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "sdram_rw:sdr_rw|wbm_adr_o[20]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "sdram_rw:sdr_rw|wbm_adr_o[21]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "sdram_rw:sdr_rw|wbm_adr_o[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "sdram_rw:sdr_rw|wbm_adr_o[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "sdram_rw:sdr_rw|wbm_adr_o[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "sdram_rw:sdr_rw|wbm_adr_o[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "sdram_rw:sdr_rw|wbm_adr_o[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "sdram_rw:sdr_rw|wbm_adr_o[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "sdram_rw:sdr_rw|wbm_adr_o[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "sdram_rw:sdr_rw|wbm_adr_o[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "sdram_rw:sdr_rw|wbm_dat_i[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "sdram_rw:sdr_rw|wbm_dat_i[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "sdram_rw:sdr_rw|wbm_dat_i[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "sdram_rw:sdr_rw|wbm_dat_i[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "sdram_rw:sdr_rw|wbm_dat_i[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "sdram_rw:sdr_rw|wbm_dat_i[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "sdram_rw:sdr_rw|wbm_dat_i[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "sdram_rw:sdr_rw|wbm_dat_i[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "sdram_rw:sdr_rw|wbm_dat_i[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "sdram_rw:sdr_rw|wbm_dat_i[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "sdram_rw:sdr_rw|wbm_dat_i[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "sdram_rw:sdr_rw|wbm_dat_i[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "sdram_rw:sdr_rw|wbm_dat_i[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "sdram_rw:sdr_rw|wbm_dat_i[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "sdram_rw:sdr_rw|wbm_dat_i[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "sdram_rw:sdr_rw|wbm_dat_i[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "sdram_rw:sdr_rw|wbm_dat_o[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "sdram_rw:sdr_rw|wbm_dat_o[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "sdram_rw:sdr_rw|wbm_dat_o[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "sdram_rw:sdr_rw|wbm_dat_o[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "sdram_rw:sdr_rw|wbm_dat_o[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "sdram_rw:sdr_rw|wbm_dat_o[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "sdram_rw:sdr_rw|wbm_dat_o[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "sdram_rw:sdr_rw|wbm_dat_o[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "sdram_rw:sdr_rw|wbm_dat_o[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "sdram_rw:sdr_rw|wbm_dat_o[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "sdram_rw:sdr_rw|wbm_dat_o[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "sdram_rw:sdr_rw|wbm_dat_o[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "sdram_rw:sdr_rw|wbm_dat_o[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "sdram_rw:sdr_rw|wbm_dat_o[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "sdram_rw:sdr_rw|wbm_dat_o[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "sdram_rw:sdr_rw|wbm_dat_o[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "sdram_rw:sdr_rw|wbm_tga_o[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "sdram_rw:sdr_rw|wbm_tga_o[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "sdram_rw:sdr_rw|wbm_tga_o[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "sdram_rw:sdr_rw|wbm_tga_o[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "sdram_rw:sdr_rw|wbm_tga_o[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "sdram_rw:sdr_rw|wbm_tga_o[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "sdram_rw:sdr_rw|wbm_tga_o[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "sdram_rw:sdr_rw|wbm_tga_o[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=148" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=1024" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=1024" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "sdram_rw:sdr_rw|cmd_ack" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "sdram_rw:sdr_rw|cmd_done" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "sdram_rw:sdr_rw|cur_st_o[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "sdram_rw:sdr_rw|cur_st_o[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "sdram_rw:sdr_rw|cur_st_o[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "sdram_rw:sdr_rw|cur_st_o[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "sdram_rw:sdr_rw|wbm_ack_i" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=27" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=105" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=39015" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=10952" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to green_led -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to red_led -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to rst_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "sdram_controller:sdr_ctrl|address_r[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "sdram_controller:sdr_ctrl|address_r[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "sdram_controller:sdr_ctrl|address_r[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "sdram_controller:sdr_ctrl|address_r[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "sdram_controller:sdr_ctrl|address_r[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "sdram_controller:sdr_ctrl|address_r[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "sdram_controller:sdr_ctrl|address_r[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "sdram_controller:sdr_ctrl|address_r[16]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "sdram_controller:sdr_ctrl|address_r[17]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "sdram_controller:sdr_ctrl|address_r[18]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "sdram_controller:sdr_ctrl|address_r[19]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "sdram_controller:sdr_ctrl|address_r[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "sdram_controller:sdr_ctrl|address_r[20]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "sdram_controller:sdr_ctrl|address_r[21]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "sdram_controller:sdr_ctrl|address_r[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "sdram_controller:sdr_ctrl|address_r[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "sdram_controller:sdr_ctrl|address_r[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "sdram_controller:sdr_ctrl|address_r[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "sdram_controller:sdr_ctrl|address_r[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "sdram_controller:sdr_ctrl|address_r[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "sdram_controller:sdr_ctrl|address_r[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "sdram_controller:sdr_ctrl|address_r[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "sdram_controller:sdr_ctrl|address_r[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "sdram_controller:sdr_ctrl|address_r[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "sdram_controller:sdr_ctrl|address_r[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "sdram_controller:sdr_ctrl|address_r[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "sdram_controller:sdr_ctrl|address_r[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "sdram_controller:sdr_ctrl|address_r[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "sdram_controller:sdr_ctrl|address_r[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "sdram_controller:sdr_ctrl|address_r[16]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "sdram_controller:sdr_ctrl|address_r[17]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "sdram_controller:sdr_ctrl|address_r[18]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "sdram_controller:sdr_ctrl|address_r[19]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "sdram_controller:sdr_ctrl|address_r[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "sdram_controller:sdr_ctrl|address_r[20]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "sdram_controller:sdr_ctrl|address_r[21]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "sdram_controller:sdr_ctrl|address_r[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "sdram_controller:sdr_ctrl|address_r[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "sdram_controller:sdr_ctrl|address_r[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "sdram_controller:sdr_ctrl|address_r[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "sdram_controller:sdr_ctrl|address_r[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "sdram_controller:sdr_ctrl|address_r[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "sdram_controller:sdr_ctrl|address_r[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "sdram_controller:sdr_ctrl|address_r[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "sdram_rw:sdr_rw|blen[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "sdram_rw:sdr_rw|blen[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "sdram_rw:sdr_rw|blen[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "sdram_rw:sdr_rw|blen[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "sdram_rw:sdr_rw|blen[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "sdram_rw:sdr_rw|blen[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "sdram_rw:sdr_rw|blen[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "sdram_rw:sdr_rw|blen[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "sdram_rw:sdr_rw|blen[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "sdram_rw:sdr_rw|cmd_ack" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "sdram_rw:sdr_rw|cmd_done" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "sdram_rw:sdr_rw|cmd_r" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "sdram_rw:sdr_rw|cur_st_o[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "sdram_rw:sdr_rw|cur_st_o[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "sdram_rw:sdr_rw|cur_st_o[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "sdram_rw:sdr_rw|cur_st_o[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "sdram_rw:sdr_rw|wbm_ack_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "sdram_rw:sdr_rw|blen[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "sdram_rw:sdr_rw|blen[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "sdram_rw:sdr_rw|blen[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "sdram_rw:sdr_rw|blen[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "sdram_rw:sdr_rw|blen[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "sdram_rw:sdr_rw|blen[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "sdram_rw:sdr_rw|blen[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "sdram_rw:sdr_rw|blen[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "sdram_rw:sdr_rw|blen[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "sdram_rw:sdr_rw|clk_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "sdram_rw:sdr_rw|cmd_ack" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "sdram_rw:sdr_rw|cmd_done" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "sdram_rw:sdr_rw|cmd_r" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "sdram_rw:sdr_rw|cur_st_o[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "sdram_rw:sdr_rw|cur_st_o[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "sdram_rw:sdr_rw|cur_st_o[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "sdram_rw:sdr_rw|cur_st_o[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "sdram_rw:sdr_rw|mem_val_o[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "sdram_rw:sdr_rw|mem_val_o[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "sdram_rw:sdr_rw|mem_val_o[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "sdram_rw:sdr_rw|mem_val_o[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "sdram_rw:sdr_rw|mem_val_o[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "sdram_rw:sdr_rw|mem_val_o[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "sdram_rw:sdr_rw|mem_val_o[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "sdram_rw:sdr_rw|mem_val_o[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "sdram_rw:sdr_rw|mem_val_o[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "sdram_rw:sdr_rw|mem_val_o[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "sdram_rw:sdr_rw|mem_val_o[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "sdram_rw:sdr_rw|mem_val_o[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "sdram_rw:sdr_rw|mem_val_o[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "sdram_rw:sdr_rw|mem_val_o[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "sdram_rw:sdr_rw|mem_val_o[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "sdram_rw:sdr_rw|mem_val_o[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "sdram_rw:sdr_rw|sdram_val_o[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "sdram_rw:sdr_rw|sdram_val_o[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "sdram_rw:sdr_rw|sdram_val_o[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "sdram_rw:sdr_rw|sdram_val_o[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "sdram_rw:sdr_rw|sdram_val_o[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "sdram_rw:sdr_rw|sdram_val_o[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "sdram_rw:sdr_rw|sdram_val_o[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "sdram_rw:sdr_rw|sdram_val_o[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "sdram_rw:sdr_rw|sdram_val_o[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "sdram_rw:sdr_rw|sdram_val_o[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "sdram_rw:sdr_rw|sdram_val_o[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "sdram_rw:sdr_rw|sdram_val_o[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "sdram_rw:sdr_rw|sdram_val_o[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "sdram_rw:sdr_rw|sdram_val_o[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "sdram_rw:sdr_rw|sdram_val_o[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "sdram_rw:sdr_rw|sdram_val_o[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "sdram_rw:sdr_rw|wbm_ack_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "sdram_rw:sdr_rw|wbm_adr_o[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "sdram_rw:sdr_rw|wbm_adr_o[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "sdram_rw:sdr_rw|wbm_adr_o[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "sdram_rw:sdr_rw|wbm_adr_o[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "sdram_rw:sdr_rw|wbm_adr_o[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "sdram_rw:sdr_rw|wbm_adr_o[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "sdram_rw:sdr_rw|wbm_adr_o[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "sdram_rw:sdr_rw|wbm_adr_o[16]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "sdram_rw:sdr_rw|wbm_adr_o[17]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "sdram_rw:sdr_rw|wbm_adr_o[18]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "sdram_rw:sdr_rw|wbm_adr_o[19]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "sdram_rw:sdr_rw|wbm_adr_o[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "sdram_rw:sdr_rw|wbm_adr_o[20]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "sdram_rw:sdr_rw|wbm_adr_o[21]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "sdram_rw:sdr_rw|wbm_adr_o[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "sdram_rw:sdr_rw|wbm_adr_o[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "sdram_rw:sdr_rw|wbm_adr_o[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "sdram_rw:sdr_rw|wbm_adr_o[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "sdram_rw:sdr_rw|wbm_adr_o[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "sdram_rw:sdr_rw|wbm_adr_o[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "sdram_rw:sdr_rw|wbm_adr_o[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "sdram_rw:sdr_rw|wbm_adr_o[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "sdram_rw:sdr_rw|wbm_cyc_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "sdram_rw:sdr_rw|wbm_dat_i[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "sdram_rw:sdr_rw|wbm_dat_i[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "sdram_rw:sdr_rw|wbm_dat_i[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "sdram_rw:sdr_rw|wbm_dat_i[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "sdram_rw:sdr_rw|wbm_dat_i[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "sdram_rw:sdr_rw|wbm_dat_i[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "sdram_rw:sdr_rw|wbm_dat_i[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "sdram_rw:sdr_rw|wbm_dat_i[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "sdram_rw:sdr_rw|wbm_dat_i[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "sdram_rw:sdr_rw|wbm_dat_i[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "sdram_rw:sdr_rw|wbm_dat_i[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "sdram_rw:sdr_rw|wbm_dat_i[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "sdram_rw:sdr_rw|wbm_dat_i[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "sdram_rw:sdr_rw|wbm_dat_i[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "sdram_rw:sdr_rw|wbm_dat_i[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "sdram_rw:sdr_rw|wbm_dat_i[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "sdram_rw:sdr_rw|wbm_dat_o[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "sdram_rw:sdr_rw|wbm_dat_o[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "sdram_rw:sdr_rw|wbm_dat_o[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "sdram_rw:sdr_rw|wbm_dat_o[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "sdram_rw:sdr_rw|wbm_dat_o[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "sdram_rw:sdr_rw|wbm_dat_o[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "sdram_rw:sdr_rw|wbm_dat_o[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "sdram_rw:sdr_rw|wbm_dat_o[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "sdram_rw:sdr_rw|wbm_dat_o[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "sdram_rw:sdr_rw|wbm_dat_o[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "sdram_rw:sdr_rw|wbm_dat_o[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "sdram_rw:sdr_rw|wbm_dat_o[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "sdram_rw:sdr_rw|wbm_dat_o[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "sdram_rw:sdr_rw|wbm_dat_o[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "sdram_rw:sdr_rw|wbm_dat_o[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "sdram_rw:sdr_rw|wbm_dat_o[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "sdram_rw:sdr_rw|wbm_stall_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "sdram_rw:sdr_rw|wbm_stb_o" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "sdram_rw:sdr_rw|wbm_tga_o[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "sdram_rw:sdr_rw|wbm_tga_o[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "sdram_rw:sdr_rw|wbm_tga_o[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "sdram_rw:sdr_rw|wbm_tga_o[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "sdram_rw:sdr_rw|wbm_tga_o[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "sdram_rw:sdr_rw|wbm_tga_o[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "sdram_rw:sdr_rw|wbm_tga_o[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "sdram_rw:sdr_rw|wbm_tga_o[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "sdram_rw:sdr_rw|wbm_we_i" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "sdram_rw:sdr_rw|writing" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=180" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=61" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=207" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=13771" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=11951" -section_id auto_signaltap_0 set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/tools/qt_proj_test5/sdram-test_auto_stripped.stp" set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/tools/qt_proj_test5/sdram-test.stp b/tools/qt_proj_test5/sdram-test.stp index 6d2ce82..8469ea6 100644 --- a/tools/qt_proj_test5/sdram-test.stp +++ b/tools/qt_proj_test5/sdram-test.stp @@ -1,6 +1,6 @@ - + @@ -17,15 +17,18 @@ - - - - + + + + - + + + + - + @@ -45,9 +48,43 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -101,9 +138,41 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -251,9 +320,41 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -362,131 +463,108 @@ - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + + - - - - - - - + + @@ -500,14 +578,6 @@ - - - - - - - - @@ -526,137 +596,181 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + - + + @@ -670,14 +784,6 @@ - - - - - - - - @@ -696,137 +802,181 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - + + + + + + + + + + - + + @@ -840,14 +990,6 @@ - - - - - - - - @@ -866,6 +1008,73 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -874,7 +1083,7 @@ - + 'sdram_rw:sdr_rw|wbm_we_i' == falling edge @@ -884,8 +1093,8 @@ - 1111111111111100000000000000000000000000101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 - 1111111111111100000000000000000000000000101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 + 111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 + 111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 @@ -903,6 +1112,10 @@ + + 000000000000001110100000010100011001010010000000000000000000110010011100100111000000000111000110100000001100011010000000000000000000110100000000000000001000000011000110011111111011000000000000001110000000001100011001010010000000000000000000110100011100100111001000000111000110000000011100011010000000000000000000110100000000000000000000000111000110011111111011000000000000001110100000001100011001010010000000000000000000110000011100100111000000000000100110100000011100011010000000000000000000110100000000000000001000000111000110011111111011000000000000001110000000011100011001010010000000000000000000110111101100100111001000000000100110000000000010011010000000000000000000110100000000000000000000000000100110011111111011000000000000001110100000011100011001010010000000000000000000110011101100100111000000000100100110100000000010011010000000000000000000110100000000000000001000000000100110011111111011000000000000001110000000000010011001010010000000000000000000110101101100100111001000000100100110000000010010011010000000000000000000110100000000000000000000000100100110011111111011000000000000001110100000000010011001010010000000000000000000110001101100100111000000000010100110100000010010011010000000000000000000110100000000000000001000000100100110011111111011000000000000001110000000010010011001010010000000000000000000110110101100100111001000000010100110000000001010011010000000000000000000110100000000000000000000000010100110011111111011000000000000001110100000010010011001010010000000000000000000110010101100100111000000000110100110100000001010011010000000000000000000110100000000000000001000000010100110011111111011000000000000001110000000001010011001010010000000000000000000110100101100100111001000000110100110000000011010011010000000000000000000110100000000000000000000000110100110011111111011000000000000001110100000001010011001010010000000000000000000110000101100100111000000000001100110100000011010011010000000000000000000110100000000000000001000000110100110011111111011000000000000001110000000011010011001010010000000000000000000110111001100100111001000000001100110000000000110011010000000000000000000110100000000000000000000000001100110011111111011000000000000001110100000011010011001010010000000000000000000110011001100100111000000000101100110100000000110011010000000000000000000110100000000000000001000000001100110011111111011000000000000001110000000000110011001010010000000000000000000110101001100100111001000000101100110000000010110011010000000000000000000110100000000000000000000000101100110011111111011000000000000001110100000000110011001010010000000000000000000110001001100100111000000000011100110100000010110011010000000000000000000110100000000000000001000000101100110011111111011000000000000001110000000010110011001010010000000000000000000110110001100100111001000000011100110000000001110011010000000000000000000110100000000000000000000000011100110011111111011000000000000001110100000010110011001010010000000000000000000110010001100100111000000000111100110100000001110011010000000000000000000110100000000000000001000000011100110011111111011000000000000001110000000001110011001010010000000000000000000110100001100100111001000000111100110000000011110011010000000000000000000110100000000000000000000000111100110011111111011000000000000001110100000001110011001010010000000000000000000110000001100100111000000000000010110100000011110011010000000000000000000110100000000000000001000000111100110011111111011000000000000001110000000011110011001010010000000000000000000110111110100100111001000000000010110000000000001011010000000000000000000110100000000000000000000000000010110011111111011000000000000001110100000011110011001010010000000000000000000110011110100100111000000000100010110100000000001011010000000000000000000110100000000000000001000000000010110011111111011000000000000001110000000000001011001010010000000000000000000110101110100100111001000000100010110000000010001011010000000000000000000110100000000000000000000000100010110011111111011000000000000001110100000000001011001010010000000000000000000110001110100100111000000000010010110100000010001011010000000000000000000110100000000000000001000000100010110011111111011000000000000001110000000010001011001010010000000000000000000110110110100100111001000000010010110000000001001011010000000000000000000110100000000000000000000000010010110011111111011000000000000001110100000010001011001010010000000000000000000110010110100100111000000000110010110100000001001011010000000000000000000110100000000000000001000000010010110011111111011000000000000001110000000001001011001010010000000000000000000110100110100100111001000000110010110000000011001011010000000000000000000110100000000000000000000000110010110011111111011000000000000001110100000001001011001010010000000000000000000110000110100100111000000000001010110100000011001011010000000000000000000110100000000000000001000000110010110011111111011000000000000001110000000011001011001010010000000000000000000110111010100100111001000000001010110000000000101011010000000000000000000110100000000000000000000000001010110011111111011000000000000001110100000011001011001010010000000000000000000110011010100100111000000000101010110100000000101011010000000000000000000110100000000000000001000000001010110011111111011000000000000001110000000000101011001010010000000000000000000110101010100100111001000000101010110000000010101011010000000000000000000110100000000000000000000000101010110011111111011000000000000001110100000000101011001010010000000000000000000110001010100100111000000000011010110100000010101011010000000000000000000110100000000000000001000000101010110011111111011000000000000001110000000010101011001010010000000000000000000110110010100100111001000000011010110000000001101011010000000000000000000110100000000000000000000000011010110011111111011000000000000001110100000010101011001010010000000000000000000110010010100100111000000000111010110100000001101011010000000000000000000110100000000000000001000000011010110011111111011000000000000001110000000001101011001010010000000000000000000110100010100100111001000000111010110000000011101011010000000000000000000110100000000000000000000000111010110011111111011000000000000001110100000001101011001010010000000000000000000110000010100100111000000000000110110100000011101011010000000000000000000110100000000000000001000000111010110011111111011000000000000001110000000011101011001010010000000000000000000110111100100100111001000000000110110000000000011011010000000000000000000110100000000000000000000000000110110011111111011000000000000001110100000011101011001010010000000000000000000110011100100100111000000000100110110100000000011011010000000000000000000110100000000000000001000000000110110011111111011000000000000001110000000000011011001010010000000000000000000110101100100100111001000000100110110000000010011011010000000000000000000110100000000000000000000000100110110011111111011000000000000001110100000000011011001010010000000000000000000110001100100100111000000000010110110100000010011011010000000000000000000110100000000000000001000000100110110011111111011000000000000001110000000010011011001010010000000000000000000110110100100100111001000000010110110000000001011011010000000000000000000110100000000000000000000000010110110011111111011000000000000001110100000010011011001010010000000000000000000110010100100100111000000000110110110100000001011011010000000000000000000110100000000000000001000000010110110011111111011000000000000001110000000001011011001010010000000000000000000110100100100100111001000000110110110000000011011011010000000000000000000110100000000000000000000000110110110011111111011000000000000001110100000001011011001010010000000000000000000110000100100100111000000000001110110100000011011011010000000000000000000110100000000000000001000000110110110011111111011000000000000001110000000011011011001010010000000000000000000110111000100100111001000000001110110000000000111011010000000000000000000110100000000000000000000000001110110011111111011000000000000001110100000011011011001010010000000000000000000110011000100100111000000000101110110100000000111011010000000000000000000110100000000000000001000000001110110011111111011000000000000001110000000000111011001010010000000000000000000110101000100100111001000000101110110000000010111011010000000000000000000110100000000000000000000000101110110011111111011000000000000001110100000000111011001010010000000000000000000110001000100100111000000000011110110100000010111011010000000000000000000110100000000000000001000000101110110011111111011000000000000001110000000010111011001010010000000000000000000110110000100100111001000000011110110000000001111011010000000000000000000110100000000000000000000000011110110011111111011000000000000001110100000010111011001010010000000000000000000110010000100100111000000000111110110100000001111011010000000000000000000110100000000000000001000000011110110011111111011000000000000001110000000001111011001010010000000000000000000110100000100100111001000000111110110000000011111011010000000000000000000110100000000000000000000000111110110011111111011000000000000001110100000001111011001010010000000000000000000110000000100100111000000000000001110100000011111011010000000000000000000110100000000000000001000000111110110011111111011000000000000001110000000011111011001010010000000000000000000110111111000100111001000000000001110000000000000111010000000000000000000110100000000000000000000000000001110011111111011000000000000001110100000011111011001010010000000000000000000110011111000100111000000000100001110100000000000111010000000000000000000110100000000000000001000000000001110011111111011000000000000001110000000000000111001010010000000000000000000110101111000100111001000000100001110000000010000111010000000000000000000110100000000000000000000000100001110011111111011000000000000001110100000000000111001010010000000000000000000110001111000100111000000000010001110100000010000111010000000000000000000110100000000000000001000000100001110011111111011000000000000001110000000010000111001010010000000000000000000110110111000100111001000000010001110000000001000111010000000000000000000110100000000000000000000000010001110011111111011000000000000001110100000010000111001010010000000000000000000110010111000100111000000000110001110100000001000111010000000000000000000110100000000000000001000000010001110011111111011000000000000001110000000001000111001010010000000000000000000110100111000100111001000000110001110000000011000111010000000000000000000110100000000000000000000000110001110011111111011000000000000001110100000001000111001010010000000000000000000110000111000100111000000000001001110100000011000111010000000000000000000110100000000000000001000000110001110011111111011000000000000001110000000011000111001010010000000000000000000110111011000100111001000000001001110000000000100111010000000000000000000110100000000000000000000000001001110011111111011000000000000001110100000011000111001010010000000000000000000110011011000100111000000000101001110100000000100111010000000000000000000110100000000000000001000000001001110011111111011000000000000001110000000000100111001010010000000000000000000110101011000100111001000000101001110000000010100111010000000000000000000110100000000000000000000000101001110011111111011000000000000001110100000000100111001010010000000000000000000110001011000100111000000000011001110100000010100111010000000000000000000110100000000000000001000000101001110011111111011000000000000001110000000010100111001010010000000000000000000110110011000100111001000000011001110000000001100111010000000000000000000110100000000000000000000000011001110011111111011000000000000001110100000010100111001010010000000000000000000110010011000100111000000000111001110100000001100111010000000000000000000110100000000000000001000000011001110011111111011000000000000001110000000001100111001010010000000000000000000110100011000100111001000000111001110000000011100111010000000000000000000110100000000000000000000000111001110011111111011000000000000001110100000001100111001010010000000000000000000110000011000100111000000000000101110100000011100111010000000000000000000110100000000000000001000000111001110011111111011000000000000001110000000011100111001010010000000000000000000110111101000100111001000000000101110000000000010111010000000000000000000110100000000000000000000000000101110011111111011000000000000001110100000011100111001010010000000000000000000110011101000100111000000000100101110100000000010111010000000000000000000110100000000000000001000000000101110011111111011000000000000001110000000000010111001010010000000000000000000110101101000100111001000000100101110000000010010111010000000000000000000110100000000000000000000000100101110011111111011000000000000001110100000000010111001010010000000000000000000110001101000100111000000000010101110100000010010111010000000000000000000110100000000000000001000000100101110011111111011000000000000001110000000010010111001010010000000000000000000110110101000100111001000000010101110000000001010111010000000000000000000110100000000000000000000000010101110011111111011000000000000001110100000010010111001010010000000000000000000110010101000100111000000000110101110100000001010111010000000000000000000110100000000000000001000000010101110011111111011000000000000001110000000001010111001010010000000000000000000110100101000100111001000000110101110000000011010111010000000000000000000110100000000000000000000000110101110011111111011000000000000001110100000001010111001010010000000000000000000110000101000100111000000000001101110100000011010111010000000000000000000110100000000000000001000000110101110011111111011000000000000001110000000011010111001010010000000000000000000110111001000100111001000000001101110000000000110111010000000000000000000110100000000000000000000000001101110011111111011000000000000001110100000011010111001010010000000000000000000110011001000100111000000000101101110100000000110111010000000000000000000110100000000000000001000000001101110011111111011000000000000001110000000000110111001010010000000000000000000110101001000100111001000000101101110000000010110111010000000000000000000110100000000000000000000000101101110011111111011000000000000001110100000000110111001010010000000000000000000110001001000100111000000000011101110100000010110111010000000000000000000110100000000000000001000000101101110011111111011000000000000001110000000010110111001010010000000000000000000110110001000100111001000000011101110000000001110111010000000000000000000110100000000000000000000000011101110011111111011000000000000001110100000010110111001010010000000000000000000110010001000100111000000000111101110100000001110111010000000000000000000110100000000000000001000000011101110011111111011000000000000001110000000001110111001010010000000000000000000110100001000100111001000000111101110000000011110111010000000000000000000110100000000000000000000000111101110011111111011000000000000001110100000001110111001010010000000000000000000110000001000100111000000000000011110100000011110111010000000000000000000110100000000000000001000000111101110011111111011000000000000001110000000011110111001010010000000000000000000110111110000100111001000000000011110000000000001111010000000000000000000110100000000000000000000000000011110011111111011000000000000001110100000011110111001010010000000000000000000110011110000100111000000000100011110100000000001111010000000000000000000110100000000000000001000000000011110011111111011000000000000001110000000000001111001010010000000000000000000110101110000100111001000000100011110000000010001111010000000000000000000110100000000000000000000000100011110011111111011000000000000001110100000000001111001010010000000000000000000110001110000100111000000000010011110100000010001111010000000000000000000110100000000000000001000000100011110011111111011000000000000001110000000010001111001010010000000000000000000110110110000100111001000000010011110000000001001111010000000000000000000110100000000000000000000000010011110011111111011000000000000001110100000010001111001010010000000000000000000110010110000100111000000000110011110100000001001111010000000000000000000110100000000000000001000000010011110011111111011000000000000001110000000001001111001010010000000000000000000110100110000100111001000000110011110000000011001111010000000000000000000110100000000000000000000000110011110011111111011000000000000001110100000001001111001010010000000000000000000110000110000100111000000000001011110100000011001111010000000000000000000110100000000000000001000000110011110011111111011000000000000001110000000011001111001010010000000000000000000110111010000100111001000000001011110000000000101111010000000000000000000110100000000000000000000000001011110011111111011000000000000001110100000011001111001010010000000000000000000110011010000100111000000000101011110100000000101111010000000000000000000110100000000000000001000000001011110011111111011000000000000001110000000000101111001010010000000000000000000110101010000100111001000000101011110000000010101111010000000000000000000110100000000000000000000000101011110011111111011000000000000001110100000000101111001010010000000000000000000110001010000100111000000000011011110100000010101111010000000000000000000110100000000000000001000000101011110011111111011000000000000001110000000010101111001010010000000000000000000110110010000100111001000000011011110000000001101111010000000000000000000110100000000000000000000000011011110011111111011000000000000001110100000010101111001010010000000000000000000110010010000100111000000000111011110100000001101111010000000000000000000110100000000000000001000000011011110011111111011000000000000001110000000001101111001010010000000000000000000110100010000100111001000000111011110000000011101111010000000000000000000110100000000000000000000000111011110011111111011000000000000001110100000001101111001010010000000000000000000110000010000100111000000000000111110100000011101111010000000000000000000110100000000000000001000000111011110011111111011000000000000001110000000011101111001010010000000000000000000110111100000100111001000000000111110000000000011111010000000000000000000110100000000000000000000000000111110011111111011000000000000001110100000011101111001010010000000000000000000110011100000100111000000000100111110100000000011111010000000000000000000110100000000000000001000000000111110011111111011000000000000001110000000000011111001010010000000000000000000110101100000100111001000000100111110000000010011111010000000000000000000110100000000000000000000000100111110011111111011000000000000001110100000000011111001010010000000000000000000110001100000100111000000000010111110100000010011111010000000000000000000110100000000000000001000000100111110011111111011000000000000001110000000010011111001010010000000000000000000110110100000100111001000000010111110000000001011111010000000000000000000110100000000000000000000000010111110011111111011000000000000001110100000010011111001010010000000000000000000110010100000100111000000000110111110100000001011111010000000000000000000110100000000000000001000000010111110011111111011000000000000001110000000001011111001010010000000000000000000110100100000100111001000000110111110000000011011111010000000000000000000110100000000000000000000000110111110011111111011000000000000001110100000001011111001010010000000000000000000110000100000100111000000000001111110100000011011111010000000000000000000110100000000000000001000000110111110011111111011000000000000001110000000011011111001010010000000000000000000110111000000100111001000000001111110000000000111111010000000000000000000110100000000000000000000000001111110011111111011000000000000001110100000011011111001010010000000000000000000110011000000100111000000000101111110100000000111111010000000000000000000110100000000000000001000000001111110011111111011000000000000001110000000000111111001010010000000000000000000110101000000100111001000000101111110000000010111111010000000000000000000110100000000000000000000000101111110011111111011000000000000001110100000000111111001010010000000000000000000110001000000100111000000000011111110100000010111111010000000000000000000110100000000000000001000000101111110011111111011000000000000001110000000010111111001010010000000000000000000110110000000100111001000000011111110000000001111111010000000000000000000110100000000000000000000000011111110011111111011000000000000001110100000010111111001010010000000000000000000110010000000100111000000000111111110100000001111111010000000000000000000110100000000000000001000000011111110011111111011000000000000001110000000001111111001010010000000000000000000110100000000100111001000000111111110000000011111111010000000000000000000110100000000000000000000000111111110011111111011000000000000001110100000001111111001010010000000000000000000110000000000100111000000000000000001100000011111111010000000000000000000110100000000000000001000000111111110011111111011000000000000001110000000011111111001010010000000000000000000110000000000100111000000000000000001100000011111111010000000000000000000001100000000000000001000000111111110011111111011000000000000001110100000011111111010100010000000000000000000110000000000101000100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000001100000011111111000000000000000000000001000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000000100010100000000000000000100000011111111000000000000000000000000000000000000000001000000111111110101111111010000000000000001110100000011111111001010010000000000000000000110000000010100101100000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111000010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010110100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010110100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010110100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000000110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000011111111001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110000000000000000001010010000000000000000000000000000010100100010000000000000000100000011111111000000000000000000000000100000000000000001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000000000000010100100010000000000000000100000011111111010000000000000000000000100000000000000001000000111111110011111111000000000000000001110000000010000000001010010000000000000000000000111111100100100011000000000000000100000011111111010000000000000000000000110000000000000001000000111111110011111111000000000000000001110100000010000000001010010000000000000000000000011111100100100010000000100000000100000011111111010000000000000000000000100000001000000001000000111111110011111111000000000000000001110000000001000000001010010000000000000000000000101111100100100011000000100000000100000011111111010000000000000000000000110000001000000001000000111111110011111111000000000000000001110100000001000000001010010000000000000000000000001111100100100010000000010000000100000011111111010000000000000000000000100000000100000001000000111111110011111111000000000000000001110000000011000000001010010000000000000000000000110111100100100011000000010000000100000011111111010000000000000000000000110000000100000001000000111111110011111111000000000000000001110100000011000000001010010000000000000000000000010111100100100010000000110000000100000011111111010000000000000000000000100000001100000001000000111111110011111111000000000000000001110000000000100000001010010000000000000000000000100111100100100011000000110000000100000011111111010000000000000000000000110000001100000001000000111111110011111111000000000000000001110100000000100000001010010000000000000000000000000111100100100010000000001000000100000011111111010000000000000000000000100000000010000001000000111111110011111111000000000000000001110000000010100000001010010000000000000000000000111011100100100011000000001000000100000011111111010000000000000000000000110000000010000001000000111111110011111111000000000000000001110100000010100000001010010000000000000000000000011011100100100010000000101000000100000011111111010000000000000000000000100000001010000001000000111111110011111111000000000000000001110000000001100000001010010000000000000000000000101011100100100011000000101000000100000011111111010000000000000000000000110000001010000001000000111111110011111111000000000000000001110100000001100000001010010000000000000000000000001011100100100010000000011000000100000011111111010000000000000000000000100000000110000001000000111111110011111111000000000000000001110000000011100000001010010000000000000000000000110011100100100011000000011000000100000011111111010000000000000000000000110000000110000001000000111111110011111111000000000000000001110100000011100000001010010000000000000000000000010011100100100010000000111000000100000011111111010000000000000000000000100000001110000001000000111111110011111111000000000000000001110000000000010000001010010000000000000000000000100011100100100011000000111000000100000011111111010000000000000000000000110000001110000001000000111111110011111111000000000000000001110100000000010000001010010000000000000000000000000011100100100010000000000100000100000011111111010000000000000000000000100000000001000001000000111111110011111111000000000000000001110000000010010000001010010000000000000000000000111101100100100011000000000100000100000011111111010000000000000000000000110000000001000001000000111111110011111111000000000000000001110100000010010000001010010000000000000000000000011101100100100010000000100100000100000011111111010000000000000000000000100000001001000001000000111111110011111111000000000000000001110000000001010000001010010000000000000000000000101101100100100011000000100100000100000011111111010000000000000000000000110000001001000001000000111111110011111111000000000000000001110100000001010000001010010000000000000000000000001101100100100010000000010100000100000011111111010000000000000000000000100000000101000001000000111111110011111111000000000000000001110000000011010000001010010000000000000000000000110101100100100011000000010100000100000011111111010000000000000000000000110000000101000001000000111111110011111111000000000000000001110100000011010000001010010000000000000000000000010101100100100010000000110100000100000011111111010000000000000000000000100000001101000001000000111111110011111111000000000000000001110000000000110000001010010000000000000000000000100101100100100011000000110100000100000011111111010000000000000000000000110000001101000001000000111111110011111111000000000000000001110100000000110000001010010000000000000000000000000101100100100010000000001100000100000011111111010000000000000000000000100000000011000001000000111111110011111111000000000000000001110000000010110000001010010000000000000000000000111001100100100011000000001100000100000011111111010000000000000000000000110000000011000001000000111111110011111111000000000000000001110100000010110000001010010000000000000000000000011001100100100010000000101100000100000011111111010000000000000000000000100000001011000001000000111111110011111111000000000000000001110000000001110000001010010000000000000000000000101001100100100011000000101100000100000011111111010000000000000000000000110000001011000001000000111111110011111111000000000000000001110100000001110000001010010000000000000000000000001001100100100010000000011100000100000011111111010000000000000000000000100000000111000001000000111111110011111111000000000000000001110000000011110000001010010000000000000000000000110001100100100011000000011100000100000011111111010000000000000000000000110000000111000001000000111111110011111111000000000000000001110100000011110000001010010000000000000000000000010001100100100010000000111100000100000011111111010000000000000000000000100000001111000001000000111111110011111111000000000000000001110000000000001000001010010000000000000000000000100001100100100011000000111100000100000011111111010000000000000000000000110000001111000001000000111111110011111111000000000000000001110100000000001000001010010000000000000000000000000001100100100010000000000010000100000011111111010000000000000000000000100000000000100001000000111111110011111111000000000000000001110000000010001000001010010000000000000000000000111110100100100011000000000010000100000011111111010000000000000000000000110000000000100001000000111111110011111111000000000000000001110100000010001000001010010000000000000000000000011110100100100010000000100010000100000011111111010000000000000000000000100000001000100001000000111111110011111111000000000000000001110000000001001000001010010000000000000000000000101110100100100011000000100010000100000011111111010000000000000000000000110000001000100001000000111111110011111111000000000000000001110100000001001000001010010000000000000000000000001110100100100010000000010010000100000011111111010000000000000000000000100000000100100001000000111111110011111111000000000000000001110000000011001000001010010000000000000000000000110110100100100011000000010010000100000011111111010000000000000000000000110000000100100001000000111111110011111111000000000000000001110100000011001000001010010000000000000000000000010110100100100010000000110010000100000011111111010000000000000000000000100000001100100001000000111111110011111111000000000000000001110000000000101000001010010000000000000000000000100110100100100011000000110010000100000011111111010000000000000000000000110000001100100001000000111111110011111111000000000000000001110100000000101000001010010000000000000000000000000110100100100010000000001010000100000011111111010000000000000000000000100000000010100001000000111111110011111111000000000000000001110000000010101000001010010000000000000000000000111010100100100011000000001010000100000011111111010000000000000000000000110000000010100001000000111111110011111111000000000000000001110100000010101000001010010000000000000000000000011010100100100010000000101010000100000011111111010000000000000000000000100000001010100001000000111111110011111111000000000000000001110000000001101000001010010000000000000000000000101010100100100011000000101010000100000011111111010000000000000000000000110000001010100001000000111111110011111111000000000000000001110100000001101000001010010000000000000000000000001010100100100010000000011010000100000011111111010000000000000000000000100000000110100001000000111111110011111111000000000000000001110000000011101000001010010000000000000000000000110010100100100011000000011010000100000011111111010000000000000000000000110000000110100001000000111111110011111111000000000000000001110100000011101000001010010000000000000000000000010010100100100010000000111010000100000011111111010000000000000000000000100000001110100001000000111111110011111111000000000000000001110000000000011000001010010000000000000000000000100010100100100011000000111010000100000011111111010000000000000000000000110000001110100001000000111111110011111111000000000000000001110100000000011000001010010000000000000000000000000010100100100010000000000110000100000011111111010000000000000000000000100000000001100001000000111111110011111111000000000000000001110000000010011000001010010000000000000000000000111100100100100011000000000110000100000011111111010000000000000000000000110000000001100001000000111111110011111111000000000000000001110100000010011000001010010000000000000000000000011100100100100010000000100110000100000011111111010000000000000000000000100000001001100001000000111111110011111111000000000000000001110000000001011000001010010000000000000000000000101100100100100011000000100110000100000011111111010000000000000000000000110000001001100001000000111111110011111111000000000000000001110100000001011000001010010000000000000000000000001100100100100010000000010110000100000011111111010000000000000000000000100000000101100001000000111111110011111111000000000000000001110000000011011000001010010000000000000000000000110100100100100011000000010110000100000011111111010000000000000000000000110000000101100001000000111111110011111111000000000000000001110100000011011000001010010000000000000000000000010100100100100010000000110110000100000011111111010000000000000000000000100000001101100001000000111111110011111111000000000000000001110000000000111000001010010000000000000000000000100100100100100011000000110110000100000011111111010000000000000000000000110000001101100001000000111111110011111111000000000000000001110100000000111000001010010000000000000000000000000100100100100010000000001110000100000011111111010000000000000000000000100000000011100001000000111111110011111111000000000000000001110000000010111000001010010000000000000000000000111000100100100011000000001110000100000011111111010000000000000000000000110000000011100001000000111111110011111111000000000000000001110100000010111000001010010000000000000000000000011000100100100010000000101110000100000011111111010000000000000000000000100000001011100001000000111111110011111111000000000000000001110000000001111000001010010000000000000000000000101000100100100011000000101110000100000011111111010000000000000000000000110000001011100001000000111111110011111111000000000000000001110100000001111000001010010000000000000000000000001000100100100010000000011110000100000011111111010000000000000000000000100000000111100001000000111111110011111111000000000000000001110000000011111000001010010000000000000000000000110000100100100011000000011110000100000011111111010000000000000000000000110000000111100001000000111111110011111111000000000000000001110100000011111000001010010000000000000000000000010000100100100010000000111110000100000011111111010000000000000000000000100000001111100001000000111111110011111111000000000000000001110000000000000100001010010000000000000000000000100000100100100011000000111110000100000011111111010000000000000000000000110000001111100001000000111111110011111111000000000000000001110100000000000100001010010000000000000000000000000000100100100010000000000001000100000011111111010000000000000000000000100000000000010001000000111111110011111111000000000000000001110000000010000100001010010000000000000000000000111111000100100011000000000001000100000011111111010000000000000000000000110000000000010001000000111111110011111111000000000000000001110100000010000100001010010000000000000000000000011111000100100010000000100001000100000011111111010000000000000000000000100000001000010001000000111111110011111111000000000000000001110000000001000100001010010000000000000000000000101111000100100011000000100001000100000011111111010000000000000000000000110000001000010001000000111111110011111111000000000000000001110100000001000100001010010000000000000000000000001111000100100010000000010001000100000011111111010000000000000000000000100000000100010001000000111111110011111111000000000000000001110000000011000100001010010000000000000000000000110111000100100011000000010001000100000011111111010000000000000000000000110000000100010001000000111111110011111111000000000000000001110100000011000100001010010000000000000000000000010111000100100010000000110001000100000011111111010000000000000000000000100000001100010001000000111111110011111111000000000000000001110000000000100100001010010000000000000000000000100111000100100011000000110001000100000011111111010000000000000000000000110000001100010001000000111111110011111111000000000000000001110100000000100100001010010000000000000000000000000111000100100010000000001001000100000011111111010000000000000000000000100000000010010001000000111111110011111111000000000000000001110000000010100100001010010000000000000000000000111011000100100011000000001001000100000011111111010000000000000000000000110000000010010001000000111111110011111111000000000000000001110100000010100100001010010000000000000000000000011011000100100010000000101001000100000011111111010000000000000000000000100000001010010001000000111111110011111111000000000000000001110000000001100100001010010000000000000000000000101011000100100011000000101001000100000011111111010000000000000000000000110000001010010001000000111111110011111111000000000000000001110100000001100100001010010000000000000000000000001011000100100010000000011001000100000011111111010000000000000000000000100000000110010001000000111111110011111111000000000000000001110000000011100100001010010000000000000000000000110011000100100011000000011001000100000011111111010000000000000000000000110000000110010001000000111111110011111111000000000000000001110100000011100100001010010000000000000000000000010011000100100010000000111001000100000011111111010000000000000000000000100000001110010001000000111111110011111111000000000000000001110000000000010100001010010000000000000000000000100011000100100011000000111001000100000011111111010000000000000000000000110000001110010001000000111111110011111111000000000000000001110100000000010100001010010000000000000000000000000011000100100010000000000101000100000011111111010000000000000000000000100000000001010001000000111111110011111111000000000000000001110000000010010100001010010000000000000000000000111101000100100011000000000101000100000011111111010000000000000000000000110000000001010001000000111111110011111111000000000000000001110100000010010100001010010000000000000000000000011101000100100010000000100101000100000011111111010000000000000000000000100000001001010001000000111111110011111111000000000000000001110000000001010100001010010000000000000000000000101101000100100011000000100101000100000011111111010000000000000000000000110000001001010001000000111111110011111111000000000000000001110100000001010100001010010000000000000000000000001101000100100010000000010101000100000011111111010000000000000000000000100000000101010001000000111111110011111111000000000000000001110000000011010100001010010000000000000000000000110101000100100011000000010101000100000011111111010000000000000000000000110000000101010001000000111111110011111111000000000000000001110100000011010100001010010000000000000000000000010101000100100010000000110101000100000011111111010000000000000000000000100000001101010001000000111111110011111111000000000000000001110000000000110100001010010000000000000000000000100101000100100011000000110101000100000011111111010000000000000000000000110000001101010001000000111111110011111111000000000000000001110100000000110100001010010000000000000000000000000101000100100010000000001101000100000011111111010000000000000000000000100000000011010001000000111111110011111111000000000000000001110000000010110100001010010000000000000000000000111001000100100011000000001101000100000011111111010000000000000000000000110000000011010001000000111111110011111111000000000000000001110100000010110100001010010000000000000000000000011001000100100010000000101101000100000011111111010000000000000000000000100000001011010001000000111111110011111111000000000000000001110000000001110100001010010000000000000000000000101001000100100011000000101101000100000011111111010000000000000000000000110000001011010001000000111111110011111111000000000000000001110100000001110100001010010000000000000000000000001001000100100010000000011101000100000011111111010000000000000000000000100000000111010001000000111111110011111111000000000000000001110000000011110100001010010000000000000000000000110001000100100011000000011101000100000011111111010000000000000000000000110000000111010001000000111111110011111111000000000000000001110100000011110100001010010000000000000000000000010001000100100010000000111101000100000011111111010000000000000000000000100000001111010001000000111111110011111111000000000000000001110000000000001100001010010000000000000000000000100001000100100011000000111101000100000011111111010000000000000000000000110000001111010001000000111111110011111111000000000000000001110100000000001100001010010000000000000000000000000001000100100010000000000011000100000011111111010000000000000000000000100000000000110001000000111111110011111111000000000000000001110000000010001100001010010000000000000000000000111110000100100011000000000011000100000011111111010000000000000000000000110000000000110001000000111111110011111111000000000000000001110100000010001100001010010000000000000000000000011110000100100010000000100011000100000011111111010000000000000000000000100000001000110001000000111111110011111111000000000000000001110000000001001100001010010000000000000000000000101110000100100011000000100011000100000011111111010000000000000000000000110000001000110001000000111111110011111111000000000000000001110100000001001100001010010000000000000000000000001110000100100010000000010011000100000011111111010000000000000000000000100000000100110001000000111111110011111111000000000000000001110000000011001100001010010000000000000000000000110110000100100011000000010011000100000011111111010000000000000000000000110000000100110001000000111111110011111111000000000000000001110100000011001100001010010000000000000000000000010110000100100010000000110011000100000011111111010000000000000000000000100000001100110001000000111111110011111111000000000000000001110000000000101100001010010000000000000000000000100110000100100011000000110011000100000011111111010000000000000000000000110000001100110001000000111111110011111111000000000000000001110100000000101100001010010000000000000000000000000110000100100010000000001011000100000011111111010000000000000000000000100000000010110001000000111111110011111111000000000000000001110000000010101100001010010000000000000000000000111010000100100011000000001011000100000011111111010000000000000000000000110000000010110001000000111111110011111111000000000000000001110100000010101100001010010000000000000000000000011010000100100010000000101011000100000011111111010000000000000000000000100000001010110001000000111111110011111111000000000000000001110000000001101100001010010000000000000000000000101010000100100011000000101011000100000011111111010000000000000000000000110000001010110001000000111111110011111111000000000000000001110100000001101100001010010000000000000000000000001010000100100010000000011011000100000011111111010000000000000000000000100000000110110001000000111111110011111111000000000000000001110000000011101100001010010000000000000000000000110010000100100011000000011011000100000011111111010000000000000000000000110000000110110001000000111111110011111111000000000000000001110100000011101100001010010000000000000000000000010010000100100010000000111011000100000011111111010000000000000000000000100000001110110001000000111111110011111111000000000000000001110000000000011100001010010000000000000000000000100010000100100011000000111011000100000011111111010000000000000000000000110000001110110001000000111111110011111111000000000000000001110100000000011100001010010000000000000000000000000010000100100010000000000111000100000011111111010000000000000000000000100000000001110001000000111111110011111111000000000000000001110000000010011100001010010000000000000000000000111100000100100011000000000111000100000011111111010000000000000000000000110000000001110001000000111111110011111111000000000000000001110100000010011100001010010000000000000000000000011100000100100010000000100111000100000011111111010000000000000000000000100000001001110001000000111111110011111111000000000000000001110000000001011100001010010000000000000000000000101100000100100011000000100111000100000011111111010000000000000000000000110000001001110001000000111111110011111111000000000000000001110100000001011100001010010000000000000000000000001100000100100010000000010111000100000011111111010000000000000000000000100000000101110001000000111111110011111111000000000000000001110000000011011100001010010000000000000000000000110100000100100011000000010111000100000011111111010000000000000000000000110000000101110001000000111111110011111111000000000000000001110100000011011100001010010000000000000000000000010100000100100010000000110111000100000011111111010000000000000000000000100000001101110001000000111111110011111111000000000000000001110000000000111100001010010000000000000000000000100100000100100011000000110111000100000011111111010000000000000000000000110000001101110001000000111111110011111111000000000000000001110100000000111100001010010000000000000000000000000100000100100010000000001111000100000011111111010000000000000000000000100000000011110001000000111111110011111111000000000000000001110000000010111100001010010000000000000000000000111000000100100011000000001111000100000011111111010000000000000000000000110000000011110001000000111111110011111111000000000000000001110100000010111100001010010000000000000000000000011000000100100010000000101111000100000011111111010000000000000000000000100000001011110001000000111111110011111111000000000000000001110000000001111100001010010000000000000000000000101000000100100011000000101111000100000011111111010000000000000000000000110000001011110001000000111111110011111111000000000000000001110100000001111100001010010000000000000000000000001000000100100010000000011111000100000011111111010000000000000000000000100000000111110001000000111111110011111111000000000000000001110000000011111100000000010000000000000000000000110000000101100011000000011111000100000011111111010000000000000000000000110000000111110001000000111111110011111111000000000000000001110100000011111100001010010000000000000000000000010000000100100010000000111111000100000011111111010000000000000000000000100000001111110001000000111111110011111111000000000000000001110000000000000010001010010000000000000000000000100000000100100011000000111111000100000011111111010000000000000000000100110000001111110001000000111111110011111111000000000000000001110100000000000010001010010000000000000000000000000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010000010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010110100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010110100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010110100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000100000110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110000000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111000000000000000000000100110000001111110001000000111111110111111111000000000000000001110100000000000010001010010000000000000000000100000000010100100010000000000000100100000011111111010000000000000000000100100000000000001001000000111111110011111111000000000000000001110000000010000010001010010000000000000000000100111111100100100011000000000000100100000011111111010000000000000000000100110000000000001001000000111111110011111111000000000000000001110100000010000010001010010000000000000000000100011111100100100010000000100000100100000011111111010000000000000000000100100000001000001001000000111111110011111111000000000000000001110000000001000010001010010000000000000000000100101111100100100011000000100000100100000011111111010000000000000000000100110000001000001001000000111111110011111111000000000000000001110100000001000010001010010000000000000000000100001111100100100010000000010000100100000011111111010000000000000000000100100000000100001001000000111111110011111111000000000000000001110000000011000010001010010000000000000000000100110111100100100011000000010000100100000011111111010000000000000000000100110000000100001001000000111111110011111111000000000000000001110100000011000010001010010000000000000000000100010111100100100010000000110000100100000011111111010000000000000000000100100000001100001001000000111111110011111111000000000000000001110000000000100010001010010000000000000000000100100111100100100011000000110000100100000011111111010000000000000000000100110000001100001001000000111111110011111111000000000000000001110100000000100010001010010000000000000000000100000111100100100010000000001000100100000011111111010000000000000000000100100000000010001001000000111111110011111111000000000000000001110000000010100010001010010000000000000000000100111011100100100011000000001000100100000011111111010000000000000000000100110000000010001001000000111111110011111111000000000000000001110100000010100010001010010000000000000000000100011011100100100010000000101000100100000011111111010000000000000000000100100000001010001001000000111111110011111111000000000000000001110000000001100010001010010000000000000000000100101011100100100011000000101000100100000011111111010000000000000000000100110000001010001001000000111111110011111111000000000000000001110100000001100010001010010000000000000000000100001011100100100010000000011000100100000011111111010000000000000000000100100000000110001001000000111111110011111111000000000000000001110000000011100010001010010000000000000000000100110011100100100011000000011000100100000011111111010000000000000000000100110000000110001001000000111111110011111111000000000000000001110100000011100010001010010000000000000000000100010011100100100010000000111000100100000011111111010000000000000000000100100000001110001001000000111111110011111111000000000000000001110000000000010010001010010000000000000000000100100011100100100011000000111000100100000011111111010000000000000000000100110000001110001001000000111111110011111111000000000000000001110100000000010010001010010000000000000000000100000011100100100010000000000100100100000011111111010000000000000000000100100000000001001001000000111111110011111111000000000000000001110000000010010010001010010000000000000000000100111101100100100011000000000100100100000011111111010000000000000000000100110000000001001001000000111111110011111111000000000000000001110100000010010010001010010000000000000000000100011101100100100010000000100100100100000011111111010000000000000000000100100000001001001001000000111111110011111111000000000000000001110000000001010010001010010000000000000000000100101101100100100011000000100100100100000011111111010000000000000000000100110000001001001001000000111111110011111111000000000000000001110100000001010010001010010000000000000000000100001101100100100010000000010100100100000011111111010000000000000000000100100000000101001001000000111111110011111111000000000000000001110000000011010010001010010000000000000000000100110101100100100011000000010100100100000011111111010000000000000000000100110000000101001001000000111111110011111111000000000000000001110100000011010010001010010000000000000000000100010101100100100010000000110100100100000011111111010000000000000000000100100000001101001001000000111111110011111111000000000000000001110000000000110010001010010000000000000000000100100101100100100011000000110100100100000011111111010000000000000000000100110000001101001001000000111111110011111111000000000000000001110100000000110010001010010000000000000000000100000101100100100010000000001100100100000011111111010000000000000000000100100000000011001001000000111111110011111111000000000000000001110000000010110010001010010000000000000000000100111001100100100011000000001100100100000011111111010000000000000000000100110000000011001001000000111111110011111111000000000000000001110100000010110010001010010000000000000000000100011001100100100010000000101100100100000011111111010000000000000000000100100000001011001001000000111111110011111111000000000000000001110000000001110010001010010000000000000000000100101001100100100011000000101100100100000011111111010000000000000000000100110000001011001001000000111111110011111111000000000000000001110100000001110010001010010000000000000000000100001001100100100010000000011100100100000011111111010000000000000000000100100000000111001001000000111111110011111111000000000000000001110000000011110010001010010000000000000000000100110001100100100011000000011100100100000011111111010000000000000000000100110000000111001001000000111111110011111111000000000000000001110100000011110010001010010000000000000000000100010001100100100010000000111100100100000011111111010000000000000000000100100000001111001001000000111111110011111111000000000000000001110000000000001010001010010000000000000000000100100001100100100011000000111100100100000011111111010000000000000000000100110000001111001001000000111111110011111111000000000000000001110100000000001010001010010000000000000000000100000001100100100010000000000010100100000011111111010000000000000000000100100000000000101001000000111111110011111111000000000000000001110000000010001010001010010000000000000000000100111110100100100011000000000010100100000011111111010000000000000000000100110000000000101001000000111111110011111111000000000000000001110100000010001010001010010000000000000000000100011110100100100010000000100010100100000011111111010000000000000000000100100000001000101001000000111111110011111111000000000000000001110000000001001010001010010000000000000000000100101110100100100011000000100010100100000011111111010000000000000000000100110000001000101001000000111111110011111111000000000000000001110100000001001010001010010000000000000000000100001110100100100010000000010010100100000011111111010000000000000000000100100000000100101001000000111111110011111111000000000000000001110000000011001010001010010000000000000000000100110110100100100011000000010010100100000011111111010000000000000000000100110000000100101001000000111111110011111111000000000000000001110100000011001010001010010000000000000000000100010110100100100010000000110010100100000011111111010000000000000000000100100000001100101001000000111111110011111111000000000000000001110000000000101010001010010000000000000000000100100110100100100011000000110010100100000011111111010000000000000000000100110000001100101001000000111111110011111111000000000000000001110100000000101010001010010000000000000000000100000110100100100010000000001010100100000011111111010000000000000000000100100000000010101001000000111111110011111111000000000000000001110000000010101010001010010000000000000000000100111010100100100011000000001010100100000011111111010000000000000000000100110000000010101001000000111111110011111111000000000000000001110100000010101010001010010000000000000000000100011010100100100010000000101010100100000011111111010000000000000000000100100000001010101001000000111111110011111111000000000000000001110000000001101010001010010000000000000000000100101010100100100011000000101010100100000011111111010000000000000000000100110000001010101001000000111111110011111111000000000000000001110100000001101010001010010000000000000000000100001010100100100010000000011010100100000011111111010000000000000000000100100000000110101001000000111111110011111111000000000000000001110000000011101010001010010000000000000000000100110010100100100011000000011010100100000011111111010000000000000000000100110000000110101001000000111111110011111111000000000000000001110100000011101010001010010000000000000000000100010010100100100010000000111010100100000011111111010000000000000000000100100000001110101001000000111111110011111111000000000000000001110000000000011010001010010000000000000000000100100010100100100011000000111010100100000011111111010000000000000000000100110000001110101001000000111111110011111111000000000000000001110100000000011010001010010000000000000000000100000010100100100010000000000110100100000011111111010000000000000000000100100000000001101001000000111111110011111111000000000000000001110000000010011010001010010000000000000000000100111100100100100011000000000110100100000011111111010000000000000000000100110000000001101001000000111111110011111111000000000000000001110100000010011010001010010000000000000000000100011100100100100010000000100110100100000011111111010000000000000000000100100000001001101001000000111111110011111111000000000000000001110000000001011010001010010000000000000000000100101100100100100011000000100110100100000011111111010000000000000000000100110000001001101001000000111111110011111111000000000000000001110100000001011010001010010000000000000000000100001100100100100010000000010110100100000011111111010000000000000000000100100000000101101001000000111111110011111111000000000000000001110000000011011010001010010000000000000000000100110100100100100011000000010110100100000011111111010000000000000000000100110000000101101001000000111111110011111111000000000000000001110100000011011010001010010000000000000000000100010100100100100010000000110110100100000011111111010000000000000000000100100000001101101001000000111111110011111111000000000000000001110000000000111010001010010000000000000000000100100100100100100011000000110110100100000011111111010000000000000000000100110000001101101001000000111111110011111111000000000000000001110100000000111010001010010000000000000000000100000100100100100010000000001110100100000011111111010000000000000000000100100000000011101001000000111111110011111111000000000000000001110000000010111010001010010000000000000000000100111000100100100011000000001110100100000011111111010000000000000000000100110000000011101001000000111111110011111111000000000000000001110100000010111010001010010000000000000000000100011000100100100010000000101110100100000011111111010000000000000000000100100000001011101001000000111111110011111111000000000000000001110000000001111010001010010000000000000000000100101000100100100011000000101110100100000011111111010000000000000000000100110000001011101001000000111111110011111111000000000000000001110100000001111010001010010000000000000000000100001000100100100010000000011110100100000011111111010000000000000000000100100000000111101001000000111111110011111111000000000000000001110000000011111010001010010000000000000000000100110000100100100011000000011110100100000011111111010000000000000000000100110000000111101001000000111111110011111111000000000000000001110100000011111010001010010000000000000000000100010000100100100010000000111110100100000011111111010000000000000000000100100000001111101001000000111111110011111111000000000000000001110000000000000110001010010000000000000000000100100000100100100011000000111110100100000011111111010000000000000000000100110000001111101001000000111111110011111111000000000000000001110100000000000110001010010000000000000000000100000000100100100010000000000001100100000011111111010000000000000000000100100000000000011001000000111111110011111111000000000000000001110000000010000110001010010000000000000000000100111111000100100011000000000001100100000011111111010000000000000000000100110000000000011001000000111111110011111111000000000000000001110100000010000110001010010000000000000000000100011111000100100010000000100001100100000011111111010000000000000000000100100000001000011001000000111111110011111111000000000000000001110000000001000110001010010000000000000000000100101111000100100011000000100001100100000011111111010000000000000000000100110000001000011001000000111111110011111111000000000000000001110100000001000110001010010000000000000000000100001111000100100010000000010001100100000011111111010000000000000000000100100000000100011001000000111111110011111111000000000000000001110000000011000110001010010000000000000000000100110111000100100011000000010001100100000011111111010000000000000000000100110000000100011001000000111111110011111111000000000000000001110100000011000110001010010000000000000000000100010111000100100010000000110001100100000011111111010000000000000000000100100000001100011001000000111111110011111111000000000000000001110000000000100110001010010000000000000000000100100111000100100011000000110001100100000011111111010000000000000000000100110000001100011001000000111111110011111111000000000000000001110100000000100110001010010000000000000000000100000111000100100010000000001001100100000011111111010000000000000000000100100000000010011001000000111111110011111111000000000000000001110000000010100110001010010000000000000000000100111011000100100011000000001001100100000011111111010000000000000000000100110000000010011001000000111111110011111111000000000000000001110100000010100110001010010000000000000000000100011011000100100010000000101001100100000011111111010000000000000000000100100000001010011001000000111111110011111111000000000000000001110000000001100110001010010000000000000000000100101011000100100011000000101001100100000011111111010000000000000000000100110000001010011001000000111111110011111111000000000000000001110100000001100110001010010000000000000000000100001011000100100010000000011001100100000011111111010000000000000000000100100000000110011001000000111111110011111111000000000000000001110000000011100110001010010000000000000000000100110011000100100011000000011001100100000011111111010000000000000000000100110000000110011001000000111111110011111111000000000000000001110100000011100110001010010000000000000000000100010011000100100010000000111001100100000011111111010000000000000000000100100000001110011001000000111111110011111111000000000000000001110000000000010110001010010000000000000000000100100011000100100011000000111001100100000011111111010000000000000000000100110000001110011001000000111111110011111111000000000000000001110100000000010110001010010000000000000000000100000011000100100010000000000101100100000011111111010000000000000000000100100000000001011001000000111111110011111111000000000000000001110000000010010110001010010000000000000000000100111101000100100011000000000101100100000011111111010000000000000000000100110000000001011001000000111111110011111111000000000000000001110100000010010110001010010000000000000000000100011101000100100010000000100101100100000011111111010000000000000000000100100000001001011001000000111111110011111111000000000000000001110000000001010110001010010000000000000000000100101101000100100011000000100101100100000011111111010000000000000000000100110000001001011001000000111111110011111111000000000000000001110100000001010110001010010000000000000000000100001101000100100010000000010101100100000011111111010000000000000000000100100000000101011001000000111111110011111111000000000000000001110000000011010110001010010000000000000000000100110101000100100011000000010101100100000011111111010000000000000000000100110000000101011001000000111111110011111111000000000000000001110100000011010110001010010000000000000000000100010101000100100010000000110101100100000011111111010000000000000000000100100000001101011001000000111111110011111111000000000000000001110000000000110110001010010000000000000000000100100101000100100011000000110101100100000011111111010000000000000000000100110000001101011001000000111111110011111111000000000000000001110100000000110110001010010000000000000000000100000101000100100010000000001101100100000011111111010000000000000000000100100000000011011001000000111111110011111111000000000000000001110000000010110110001010010000000000000000000100111001000100100011000000001101100100000011111111010000000000000000000100110000000011011001000000111111110011111111000000000000000001110100000010110110001010010000000000000000000100011001000100100010000000101101100100000011111111010000000000000000000100100000001011011001000000111111110011111111000000000000000001110000000001110110001010010000000000000000000100101001000100100011000000101101100100000011111111010000000000000000000100110000001011011001000000111111110011111111000000000000000001110100000001110110001010010000000000000000000100001001000100100010000000011101100100000011111111010000000000000000000100100000000111011001000000111111110011111111000000000000000001110000000011110110001010010000000000000000000100110001000100100011000000011101100100000011111111010000000000000000000100110000000111011001000000111111110011111111000000000000000001110100000011110110001010010000000000000000000100010001000100100010000000111101100100000011111111010000000000000000000100100000001111011001000000111111110011111111000000000000000001110000000000001110001010010000000000000000000100100001000100100011000000111101100100000011111111010000000000000000000100110000001111011001000000111111110011111111000000000000000001110100000000001110001010010000000000000000000100000001000100100010000000000011100100000011111111010000000000000000000100100000000000111001000000111111110011111111000000000000000001110000000010001110001010010000000000000000000100111110000100100011000000000011100100000011111111010000000000000000000100110000000000111001000000111111110011111111000000000000000001110100000010001110001010010000000000000000000100011110000100100010000000100011100100000011111111010000000000000000000100100000001000111001000000111111110011111111000000000000000001110000000001001110001010010000000000000000000100101110000100100011000000100011100100000011111111010000000000000000000100110000001000111001000000111111110011111111000000000000000001110100000001001110001010010000000000000000000100001110000100100010000000010011100100000011111111010000000000000000000100100000000100111001000000111111110011111111000000000000000001110000000011001110001010010000000000000000000100110110000100100011000000010011100100000011111111010000000000000000000100110000000100111001000000111111110011111111000000000000000001110100000011001110001010010000000000000000000100010110000100100010000000110011100100000011111111010000000000000000000100100000001100111001000000111111110011111111000000000000000001110000000000101110001010010000000000000000000100100110000100100011000000110011100100000011111111010000000000000000000100110000001100111001000000111111110011111111000000000000000001110100000000101110001010010000000000000000000100000110000100100010000000001011100100000011111111010000000000000000000100100000000010111001000000111111110011111111000000000000000001110000000010101110001010010000000000000000000100111010000100100011000000001011100100000011111111010000000000000000000100110000000010111001000000111111110011111111000000000000000001110100000010101110001010010000000000000000000100011010000100100010000000101011100100000011111111010000000000000000000100100000001010111001000000111111110011111111000000000000000001110000000001101110001010010000000000000000000100101010000100100011000000101011100100000011111111010000000000000000000100110000001010111001000000111111110011111111000000000000000001110100000001101110001010010000000000000000000100001010000100100010000000011011100100000011111111010000000000000000000100100000000110111001000000111111110011111111000000000000000001110000000011101110001010010000000000000000000100110010000100100011000000011011100100000011111111010000000000000000000100110000000110111001000000111111110011111111000000000000000001110100000011101110001010010000000000000000000100010010000100100010000000111011100100000011111111010000000000000000000100100000001110111001000000111111110011111111000000000000000001110000000000011110001010010000000000000000000100100010000100100011000000111011100100000011111111010000000000000000000100110000001110111001000000111111110011111111000000000000000001110100000000011110001010010000000000000000000100000010000100100010000000000111100100000011111111010000000000000000000100100000000001111001000000111111110011111111000000000000000001110000000010011110001010010000000000000000000100111100000100100011000000000111100100000011111111010000000000000000000100110000000001111001000000111111110011111111000000000000000001110100000010011110001010010000000000000000000100011100000100100010000000100111100100000011111111010000000000000000000100100000001001111001000000111111110011111111000000000000000001110000000001011110001010010000000000000000000100101100000100100011000000100111100100000011111111010000000000000000000100110000001001111001000000111111110011111111000000000000000001110100000001011110001010010000000000000000000100001100000100100010000000010111100100000011111111010000000000000000000100100000000101111001000000111111110011111111000000000000000001110000000011011110001010010000000000000000000100110100000100100011000000010111100100000011111111010000000000000000000100110000000101111001000000111111110011111111000000000000000001110100000011011110001010010000000000000000000100010100000100100010000000110111100100000011111111010000000000000000000100100000001101111001000000111111110011111111000000000000000001110000000000111110001010010000000000000000000100100100000100100011000000110111100100000011111111010000000000000000000100110000001101111001000000111111110011111111000000000000000001110100000000111110001010010000000000000000000100000100000100100010000000001111100100000011111111010000000000000000000100100000000011111001000000111111110011111111000000000000000001110000000010111110001010010000000000000000000100111000000100100011000000001111100100000011111111010000000000000000000100110000000011111001000000111111110011111111000000000000000001110100000010111110001010010000000000000000000100011000000100100010000000101111100100000011111111010000000000000000000100100000001011111001000000111111110011111111000000000000000001110000000001111110001010010000000000000000000100101000000100100011000000101111100100000011111111010000000000000000000100110000001011111001000000111111110011111111000000000000000001110100000001111110001010010000000000000000000100001000000100100010000000011111100100000011111111010000000000000000000100100000000111111001000000111111110011111111000000000000000001110000000011111110000000010000000000000000000100110000000101100011000000011111100100000011111111010000000000000000000100110000000111111001000000111111110011111111000000000000000001110100000011111110001010010000000000000000000100010000000100100010000000111111100100000011111111010000000000000000000100100000001111111001000000111111110011111111000000000000000001110000000000000000001010010000000000000000000100100000000100100011000000111111100100000011111111010000000000000000000010110000001111111001000000111111110011111111000000000000000001110100000000000000001010010000000000000000000100000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000100000000000001110100000000000000000010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010110100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010110100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010110100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000000110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000000001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110000000000000001001010010000000000000000000010000000010100100010000000000000010100000011111111000000000000000000000010110000001111111001000000111111110111111111000000000000000001110100000000000001001010010000000000000000000010000000010100100010000000000000010100000011111111010000000000000000000010100000000000000101000000111111110011111111000000000000000001110000000010000001001010010000000000000000000010111111100100100011000000000000010100000011111111010000000000000000000010110000000000000101000000111111110011111111000000000000000001110100000010000001001010010000000000000000000010011111100100100010000000100000010100000011111111010000000000000000000010100000001000000101000000111111110011111111000000000000000001110000000001000001001010010000000000000000000010101111100100100011000000100000010100000011111111010000000000000000000010110000001000000101000000111111110011111111000000000000000001110100000001000001001010010000000000000000000010001111100100100010000000010000010100000011111111010000000000000000000010100000000100000101000000111111110011111111000000000000000001110000000011000001001010010000000000000000000010110111100100100011000000010000010100000011111111010000000000000000000010110000000100000101000000111111110011111111000000000000000001110100000011000001001010010000000000000000000010010111100100100010000000110000010100000011111111010000000000000000000010100000001100000101000000111111110011111111000000000000000001110000000000100001001010010000000000000000000010100111100100100011000000110000010100000011111111010000000000000000000010110000001100000101000000111111110011111111000000000000000001110100000000100001001010010000000000000000000010000111100100100010000000001000010100000011111111010000000000000000000010100000000010000101000000111111110011111111000000000000000001110000000010100001001010010000000000000000000010111011100100100011000000001000010100000011111111010000000000000000000010110000000010000101000000111111110011111111000000000000000001110100000010100001001010010000000000000000000010011011100100100010000000101000010100000011111111010000000000000000000010100000001010000101000000111111110011111111000000000000000001110000000001100001001010010000000000000000000010101011100100100011000000101000010100000011111111010000000000000000000010110000001010000101000000111111110011111111000000000000000001110100000001100001001010010000000000000000000010001011100100100010000000011000010100000011111111010000000000000000000010100000000110000101000000111111110011111111000000000000000001110000000011100001001010010000000000000000000010110011100100100011000000011000010100000011111111010000000000000000000010110000000110000101000000111111110011111111000000000000000001110100000011100001001010010000000000000000000010010011100100100010000000111000010100000011111111010000000000000000000010100000001110000101000000111111110011111111000000000000000001110000000000010001001010010000000000000000000010100011100100100011000000111000010100000011111111010000000000000000000010110000001110000101000000111111110011111111000000000000000001110100000000010001001010010000000000000000000010000011100100100010000000000100010100000011111111010000000000000000000010100000000001000101000000111111110011111111000000000000000001110000000010010001001010010000000000000000000010111101100100100011000000000100010100000011111111010000000000000000000010110000000001000101000000111111110011111111000000000000000001110100000010010001001010010000000000000000000010011101100100100010000000100100010100000011111111010000000000000000000010100000001001000101000000111111110011111111000000000000000001110000000001010001001010010000000000000000000010101101100100100011000000100100010100000011111111010000000000000000000010110000001001000101000000111111110011111111000000000000000001110100000001010001001010010000000000000000000010001101100100100010000000010100010100000011111111010000000000000000000010100000000101000101000000111111110011111111000000000000000001110000000011010001001010010000000000000000000010110101100100100011000000010100010100000011111111010000000000000000000010110000000101000101000000111111110011111111000000000000000001110100000011010001001010010000000000000000000010010101100100100010000000110100010100000011111111010000000000000000000010100000001101000101000000111111110011111111000000000000000001110000000000110001001010010000000000000000000010100101100100100011000000110100010100000011111111010000000000000000000010110000001101000101000000111111110011111111000000000000000001110100000000110001001010010000000000000000000010000101100100100010000000001100010100000011111111010000000000000000000010100000000011000101000000111111110011111111000000000000000001110000000010110001001010010000000000000000000010111001100100100011000000001100010100000011111111010000000000000000000010110000000011000101000000111111110011111111000000000000000001110100000010110001001010010000000000000000000010011001100100100010000000101100010100000011111111010000000000000000000010100000001011000101000000111111110011111111000000000000000001110000000001110001001010010000000000000000000010101001100100100011000000101100010100000011111111010000000000000000000010110000001011000101000000111111110011111111000000000000000001110100000001110001001010010000000000000000000010001001100100100010000000011100010100000011111111010000000000000000000010100000000111000101000000111111110011111111000000000000000001110000000011110001001010010000000000000000000010110001100100100011000000011100010100000011111111010000000000000000000010110000000111000101000000111111110011111111000000000000000001110100000011110001001010010000000000000000000010010001100100100010000000111100010100000011111111010000000000000000000010100000001111000101000000111111110011111111000000000000000001110000000000001001001010010000000000000000000010100001100100100011000000111100010100000011111111010000000000000000000010110000001111000101000000111111110011111111000000000000000001110100000000001001001010010000000000000000000010000001100100100010000000000010010100000011111111010000000000000000000010100000000000100101000000111111110011111111000000000000000001110000000010001001001010010000000000000000000010111110100100100011000000000010010100000011111111010000000000000000000010110000000000100101000000111111110011111111000000000000000001110100000010001001001010010000000000000000000010011110100100100010000000100010010100000011111111010000000000000000000010100000001000100101000000111111110011111111000000000000000001110000000001001001001010010000000000000000000010101110100100100011000000100010010100000011111111010000000000000000000010110000001000100101000000111111110011111111000000000000000001110100000001001001001010010000000000000000000010001110100100100010000000010010010100000011111111010000000000000000000010100000000100100101000000111111110011111111000000000000000001110000000011001001001010010000000000000000000010110110100100100011000000010010010100000011111111010000000000000000000010110000000100100101000000111111110011111111000000000000000001110100000011001001001010010000000000000000000010010110100100100010000000110010010100000011111111010000000000000000000010100000001100100101000000111111110011111111000000000000000001110000000000101001001010010000000000000000000010100110100100100011000000110010010100000011111111010000000000000000000010110000001100100101000000111111110011111111000000000000000001110100000000101001001010010000000000000000000010000110100100100010000000001010010100000011111111010000000000000000000010100000000010100101000000111111110011111111000000000000000001110000000010101001001010010000000000000000000010111010100100100011000000001010010100000011111111010000000000000000000010110000000010100101000000111111110011111111000000000000000001110100000010101001001010010000000000000000000010011010100100100010000000101010010100000011111111010000000000000000000010100000001010100101000000111111110011111111000000000000000001110000000001101001001010010000000000000000000010101010100100100011000000101010010100000011111111010000000000000000000010110000001010100101000000111111110011111111000000000000000001110100000001101001001010010000000000000000000010001010100100100010000000011010010100000011111111010000000000000000000010100000000110100101000000111111110011111111000000000000000001110000000011101001001010010000000000000000000010110010100100100011000000011010010100000011111111010000000000000000000010110000000110100101000000111111110011111111000000000000000001110100000011101001001010010000000000000000000010010010100100100010000000111010010100000011111111010000000000000000000010100000001110100101000000111111110011111111000000000000000001110000000000011001001010010000000000000000000010100010100100100011000000111010010100000011111111010000000000000000000010110000001110100101000000111111110011111111000000000000000001110100000000011001001010010000000000000000000010000010100100100010000000000110010100000011111111010000000000000000000010100000000001100101000000111111110011111111000000000000000001110000000010011001001010010000000000000000000010111100100100100011000000000110010100000011111111010000000000000000000010110000000001100101000000111111110011111111000000000000000001110100000010011001001010010000000000000000000010011100100100100010000000100110010100000011111111010000000000000000000010100000001001100101000000111111110011111111000000000000000001110000000001011001001010010000000000000000000010101100100100100011000000100110010100000011111111010000000000000000000010110000001001100101000000111111110011111111000000000000000001110100000001011001001010010000000000000000000010001100100100100010000000010110010100000011111111010000000000000000000010100000000101100101000000111111110011111111000000000000000001110000000011011001001010010000000000000000000010110100100100100011000000010110010100000011111111010000000000000000000010110000000101100101000000111111110011111111000000000000000001110100000011011001001010010000000000000000000010010100100100100010000000110110010100000011111111010000000000000000000010100000001101100101000000111111110011111111000000000000000001110000000000111001001010010000000000000000000010100100100100100011000000110110010100000011111111010000000000000000000010110000001101100101000000111111110011111111000000000000000001110100000000111001001010010000000000000000000010000100100100100010000000001110010100000011111111010000000000000000000010100000000011100101000000111111110011111111000000000000000001110000000010111001001010010000000000000000000010111000100100100011000000001110010100000011111111010000000000000000000010110000000011100101000000111111110011111111000000000000000001110100000010111001001010010000000000000000000010011000100100100010000000101110010100000011111111010000000000000000000010100000001011100101000000111111110011111111000000000000000001110000000001111001001010010000000000000000000010101000100100100011000000101110010100000011111111010000000000000000000010110000001011100101000000111111110011111111000000000000000001110100000001111001001010010000000000000000000010001000100100100010000000011110010100000011111111010000000000000000000010100000000111100101000000111111110011111111000000000000000001110000000011111001001010010000000000000000000010110000100100100011000000011110010100000011111111010000000000000000000010110000000111100101000000111111110011111111000000000000000001110100000011111001001010010000000000000000000010010000100100100010000000111110010100000011111111010000000000000000000010100000001111100101000000111111110011111111000000000000000001110000000000000101001010010000000000000000000010100000100100100011000000111110010100000011111111010000000000000000000010110000001111100101000000111111110011111111000000000000000001110100000000000101001010010000000000000000000010000000100100100010000000000001010100000011111111010000000000000000000010100000000000010101000000111111110011111111000000000000000001110000000010000101001010010000000000000000000010111111000100100011000000000001010100000011111111010000000000000000000010110000000000010101000000111111110011111111000000000000000001110100000010000101001010010000000000000000000010011111000100100010000000100001010100000011111111010000000000000000000010100000001000010101000000111111110011111111000000000000000001110000000001000101001010010000000000000000000010101111000100100011000000100001010100000011111111010000000000000000000010110000001000010101000000111111110011111111000000000000000001110100000001000101001010010000000000000000000010001111000100100010000000010001010100000011111111010000000000000000000010100000000100010101000000111111110011111111000000000000000001110000000011000101001010010000000000000000000010110111000100100011000000010001010100000011111111010000000000000000000010110000000100010101000000111111110011111111000000000000000001110100000011000101001010010000000000000000000010010111000100100010000000110001010100000011111111010000000000000000000010100000001100010101000000111111110011111111000000000000000001110000000000100101001010010000000000000000000010100111000100100011000000110001010100000011111111010000000000000000000010110000001100010101000000111111110011111111000000000000000001110100000000100101001010010000000000000000000010000111000100100010000000001001010100000011111111010000000000000000000010100000000010010101000000111111110011111111000000000000000001110000000010100101001010010000000000000000000010111011000100100011000000001001010100000011111111010000000000000000000010110000000010010101000000111111110011111111000000000000000001110100000010100101001010010000000000000000000010011011000100100010000000101001010100000011111111010000000000000000000010100000001010010101000000111111110011111111000000000000000001110000000001100101001010010000000000000000000010101011000100100011000000101001010100000011111111010000000000000000000010110000001010010101000000111111110011111111000000000000000001110100000001100101001010010000000000000000000010001011000100100010000000011001010100000011111111010000000000000000000010100000000110010101000000111111110011111111000000000000000001110000000011100101001010010000000000000000000010110011000100100011000000011001010100000011111111010000000000000000000010110000000110010101000000111111110011111111000000000000000001110100000011100101001010010000000000000000000010010011000100100010000000111001010100000011111111010000000000000000000010100000001110010101000000111111110011111111000000000000000001110000000000010101001010010000000000000000000010100011000100100011000000111001010100000011111111010000000000000000000010110000001110010101000000111111110011111111000000000000000001110100000000010101001010010000000000000000000010000011000100100010000000000101010100000011111111010000000000000000000010100000000001010101000000111111110011111111000000000000000001110000000010010101001010010000000000000000000010111101000100100011000000000101010100000011111111010000000000000000000010110000000001010101000000111111110011111111000000000000000001110100000010010101001010010000000000000000000010011101000100100010000000100101010100000011111111010000000000000000000010100000001001010101000000111111110011111111000000000000000001110000000001010101001010010000000000000000000010101101000100100011000000100101010100000011111111010000000000000000000010110000001001010101000000111111110011111111000000000000000001110100000001010101001010010000000000000000000010001101000100100010000000010101010100000011111111010000000000000000000010100000000101010101000000111111110011111111000000000000000001110000000011010101001010010000000000000000000010110101000100100011000000010101010100000011111111010000000000000000000010110000000101010101000000111111110011111111000000000000000001110100000011010101001010010000000000000000000010010101000100100010000000110101010100000011111111010000000000000000000010100000001101010101000000111111110011111111000000000000000001110000000000110101001010010000000000000000000010100101000100100011000000110101010100000011111111010000000000000000000010110000001101010101000000111111110011111111000000000000000001110100000000110101001010010000000000000000000010000101000100100010000000001101010100000011111111010000000000000000000010100000000011010101000000111111110011111111000000000000000001110000000010110101001010010000000000000000000010111001000100100011000000001101010100000011111111010000000000000000000010110000000011010101000000111111110011111111000000000000000001110100000010110101001010010000000000000000000010011001000100100010000000101101010100000011111111010000000000000000000010100000001011010101000000111111110011111111000000000000000001110000000001110101001010010000000000000000000010101001000100100011000000101101010100000011111111010000000000000000000010110000001011010101000000111111110011111111000000000000000001110100000001110101001010010000000000000000000010001001000100100010000000011101010100000011111111010000000000000000000010100000000111010101000000111111110011111111000000000000000001110000000011110101001010010000000000000000000010110001000100100011000000011101010100000011111111010000000000000000000010110000000111010101000000111111110011111111000000000000000001110100000011110101001010010000000000000000000010010001000100100010000000111101010100000011111111010000000000000000000010100000001111010101000000111111110011111111000000000000000001110000000000001101001010010000000000000000000010100001000100100011000000111101010100000011111111010000000000000000000010110000001111010101000000111111110011111111000000000000000001110100000000001101001010010000000000000000000010000001000100100010000000000011010100000011111111010000000000000000000010100000000000110101000000111111110011111111000000000000000001110000000010001101001010010000000000000000000010111110000100100011000000000011010100000011111111010000000000000000000010110000000000110101000000111111110011111111000000000000000001110100000010001101001010010000000000000000000010011110000100100010000000100011010100000011111111010000000000000000000010100000001000110101000000111111110011111111000000000000000001110000000001001101001010010000000000000000000010101110000100100011000000100011010100000011111111010000000000000000000010110000001000110101000000111111110011111111000000000000000001110100000001001101001010010000000000000000000010001110000100100010000000010011010100000011111111010000000000000000000010100000000100110101000000111111110011111111000000000000000001110000000011001101001010010000000000000000000010110110000100100011000000010011010100000011111111010000000000000000000010110000000100110101000000111111110011111111000000000000000001110100000011001101001010010000000000000000000010010110000100100010000000110011010100000011111111010000000000000000000010100000001100110101000000111111110011111111000000000000000001110000000000101101001010010000000000000000000010100110000100100011000000110011010100000011111111010000000000000000000010110000001100110101000000111111110011111111000000000000000001110100000000101101001010010000000000000000000010000110000100100010000000001011010100000011111111010000000000000000000010100000000010110101000000111111110011111111000000000000000001110000000010101101001010010000000000000000000010111010000100100011000000001011010100000011111111010000000000000000000010110000000010110101000000111111110011111111000000000000000001110100000010101101001010010000000000000000000010011010000100100010000000101011010100000011111111010000000000000000000010100000001010110101000000111111110011111111000000000000000001110000000001101101001010010000000000000000000010101010000100100011000000101011010100000011111111010000000000000000000010110000001010110101000000111111110011111111000000000000000001110100000001101101001010010000000000000000000010001010000100100010000000011011010100000011111111010000000000000000000010100000000110110101000000111111110011111111000000000000000001110000000011101101001010010000000000000000000010110010000100100011000000011011010100000011111111010000000000000000000010110000000110110101000000111111110011111111000000000000000001110100000011101101001010010000000000000000000010010010000100100010000000111011010100000011111111010000000000000000000010100000001110110101000000111111110011111111000000000000000001110000000000011101001010010000000000000000000010100010000100100011000000111011010100000011111111010000000000000000000010110000001110110101000000111111110011111111000000000000000001110100000000011101001010010000000000000000000010000010000100100010000000000111010100000011111111010000000000000000000010100000000001110101000000111111110011111111000000000000000001110000000010011101001010010000000000000000000010111100000100100011000000000111010100000011111111010000000000000000000010110000000001110101000000111111110011111111000000000000000001110100000010011101001010010000000000000000000010011100000100100010000000100111010100000011111111010000000000000000000010100000001001110101000000111111110011111111000000000000000001110000000001011101001010010000000000000000000010101100000100100011000000100111010100000011111111010000000000000000000010110000001001110101000000111111110011111111000000000000000001110100000001011101001010010000000000000000000010001100000100100010000000010111010100000011111111010000000000000000000010100000000101110101000000111111110011111111000000000000000001110000000011011101001010010000000000000000000010110100000100100011000000010111010100000011111111010000000000000000000010110000000101110101000000111111110011111111000000000000000001110100000011011101001010010000000000000000000010010100000100100010000000110111010100000011111111010000000000000000000010100000001101110101000000111111110011111111000000000000000001110000000000111101001010010000000000000000000010100100000100100011000000110111010100000011111111010000000000000000000010110000001101110101000000111111110011111111000000000000000001110100000000111101001010010000000000000000000010000100000100100010000000001111010100000011111111010000000000000000000010100000000011110101000000111111110011111111000000000000000001110000000010111101001010010000000000000000000010111000000100100011000000001111010100000011111111010000000000000000000010110000000011110101000000111111110011111111000000000000000001110100000010111101001010010000000000000000000010011000000100100010000000101111010100000011111111010000000000000000000010100000001011110101000000111111110011111111000000000000000001110000000001111101001010010000000000000000000010101000000100100011000000101111010100000011111111010000000000000000000010110000001011110101000000111111110011111111000000000000000001110100000001111101001010010000000000000000000010001000000100100010000000011111010100000011111111010000000000000000000010100000000111110101000000111111110011111111000000000000000001110000000011111101000000010000000000000000000010110000000101100011000000011111010100000011111111010000000000000000000010110000000111110101000000111111110011111111000000000000000001110100000011111101001010010000000000000000000010010000000100100010000000111111010100000011111111010000000000000000000010100000001111110101000000111111110011111111000000000000000001110000000000000011001010010000000000000000000010100000000100100011000000111111010100000011111111010000000000000000000110110000001111110101000000111111110011111111000000000000000001110100000000000011001010010000000000000000000010000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000100000000000001110100000000000011000010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010110100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010110100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010110100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000100000110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110000000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111000000000000000000000110110000001111110101000000111111110111111111000000000000000001110100000000000011001010010000000000000000000110000000010100100010000000000000110100000011111111010000000000000000000110100000000000001101000000111111110011111111000000000000000001110000000010000011001010010000000000000000000110111111100100100011000000000000110100000011111111010000000000000000000110110000000000001101000000111111110011111111000000000000000001110100000010000011001010010000000000000000000110011111100100100010000000100000110100000011111111010000000000000000000110100000001000001101000000111111110011111111000000000000000001110000000001000011001010010000000000000000000110101111100100100011000000100000110100000011111111010000000000000000000110110000001000001101000000111111110011111111000000000000000001110100000001000011001010010000000000000000000110001111100100100010000000010000110100000011111111010000000000000000000110100000000100001101000000111111110011111111000000000000000001110000000011000011001010010000000000000000000110110111100100100011000000010000110100000011111111010000000000000000000110110000000100001101000000111111110011111111000000000000000001110100000011000011001010010000000000000000000110010111100100100010000000110000110100000011111111010000000000000000000110100000001100001101000000111111110011111111000000000000000001110000000000100011001010010000000000000000000110100111100100100011000000110000110100000011111111010000000000000000000110110000001100001101000000111111110011111111000000000000000001110100000000100011001010010000000000000000000110000111100100100010000000001000110100000011111111010000000000000000000110100000000010001101000000111111110011111111000000000000000001110000000010100011001010010000000000000000000110111011100100100011000000001000110100000011111111010000000000000000000110110000000010001101000000111111110011111111000000000000000001110100000010100011001010010000000000000000000110011011100100100010000000101000110100000011111111010000000000000000000110100000001010001101000000111111110011111111000000000000000001110000000001100011001010010000000000000000000110101011100100100011000000101000110100000011111111010000000000000000000110110000001010001101000000111111110011111111000000000000000001110100000001100011001010010000000000000000000110001011100100100010000000011000110100000011111111010000000000000000000110100000000110001101000000111111110011111111000000000000000001110000000011100011001010010000000000000000000110110011100100100011000000011000110100000011111111010000000000000000000110110000000110001101000000111111110011111111000000000000000001110100000011100011001010010000000000000000000110010011100100100010000000111000110100000011111111010000000000000000000110100000001110001101000000111111110011111111000000000000000001110000000000010011001010010000000000000000000110100011100100100011000000111000110100000011111111010000000000000000000110110000001110001101000000111111110011111111000000000000000001110100000000010011001010010000000000000000000110000011100100100010000000000100110100000011111111010000000000000000000110100000000001001101000000111111110011111111000000000000000001110000000010010011001010010000000000000000000110111101100100100011000000000100110100000011111111010000000000000000000110110000000001001101000000111111110011111111000000000000000001110100000010010011001010010000000000000000000110011101100100100010000000100100110100000011111111010000000000000000000110100000001001001101000000111111110011111111000000000000000001110000000001010011001010010000000000000000000110101101100100100011000000100100110100000011111111010000000000000000000110110000001001001101000000111111110011111111000000000000000001110100000001010011001010010000000000000000000110001101100100100010000000010100110100000011111111010000000000000000000110100000000101001101000000111111110011111111000000000000000001110000000011010011001010010000000000000000000110110101100100100011000000010100110100000011111111010000000000000000000110110000000101001101000000111111110011111111000000000000000001110100000011010011001010010000000000000000000110010101100100100010000000110100110100000011111111010000000000000000000110100000001101001101000000111111110011111111000000000000000001110000000000110011001010010000000000000000000110100101100100100011000000110100110100000011111111010000000000000000000110110000001101001101000000111111110011111111000000000000000001110100000000110011001010010000000000000000000110000101100100100010000000001100110100000011111111010000000000000000000110100000000011001101000000111111110011111111000000000000000001110000000010110011001010010000000000000000000110111001100100100011000000001100110100000011111111010000000000000000000110110000000011001101000000111111110011111111000000000000000001110100000010110011001010010000000000000000000110011001100100100010000000101100110100000011111111010000000000000000000110100000001011001101000000111111110011111111000000000000000001110000000001110011001010010000000000000000000110101001100100100011000000101100110100000011111111010000000000000000000110110000001011001101000000111111110011111111000000000000000001110100000001110011001010010000000000000000000110001001100100100010000000011100110100000011111111010000000000000000000110100000000111001101000000111111110011111111000000000000000001110000000011110011001010010000000000000000000110110001100100100011000000011100110100000011111111010000000000000000000110110000000111001101000000111111110011111111000000000000000001110100000011110011001010010000000000000000000110010001100100100010000000111100110100000011111111010000000000000000000110100000001111001101000000111111110011111111000000000000000001110000000000001011001010010000000000000000000110100001100100100011000000111100110100000011111111010000000000000000000110110000001111001101000000111111110011111111000000000000000001110100000000001011001010010000000000000000000110000001100100100010000000000010110100000011111111010000000000000000000110100000000000101101000000111111110011111111000000000000000001110000000010001011001010010000000000000000000110111110100100100011000000000010110100000011111111010000000000000000000110110000000000101101000000111111110011111111000000000000000001110100000010001011001010010000000000000000000110011110100100100010000000100010110100000011111111010000000000000000000110100000001000101101000000111111110011111111000000000000000001110000000001001011001010010000000000000000000110101110100100100011000000100010110100000011111111010000000000000000000110110000001000101101000000111111110011111111000000000000000001110100000001001011001010010000000000000000000110001110100100100010000000010010110100000011111111010000000000000000000110100000000100101101000000111111110011111111000000000000000001110000000011001011001010010000000000000000000110110110100100100011000000010010110100000011111111010000000000000000000110110000000100101101000000111111110011111111000000000000000001110100000011001011001010010000000000000000000110010110100100100010000000110010110100000011111111010000000000000000000110100000001100101101000000111111110011111111000000000000000001110000000000101011001010010000000000000000000110100110100100100011000000110010110100000011111111010000000000000000000110110000001100101101000000111111110011111111000000000000000001110100000000101011001010010000000000000000000110000110100100100010000000001010110100000011111111010000000000000000000110100000000010101101000000111111110011111111000000000000000001110000000010101011001010010000000000000000000110111010100100100011000000001010110100000011111111010000000000000000000110110000000010101101000000111111110011111111000000000000000001110100000010101011001010010000000000000000000110011010100100100010000000101010110100000011111111010000000000000000000110100000001010101101000000111111110011111111000000000000000001110000000001101011001010010000000000000000000110101010100100100011000000101010110100000011111111010000000000000000000110110000001010101101000000111111110011111111000000000000000001110100000001101011001010010000000000000000000110001010100100100010000000011010110100000011111111010000000000000000000110100000000110101101000000111111110011111111000000000000000001110000000011101011001010010000000000000000000110110010100100100011000000011010110100000011111111010000000000000000000110110000000110101101000000111111110011111111000000000000000001110100000011101011001010010000000000000000000110010010100100100010000000111010110100000011111111010000000000000000000110100000001110101101000000111111110011111111000000000000000001110000000000011011001010010000000000000000000110100010100100100011000000111010110100000011111111010000000000000000000110110000001110101101000000111111110011111111000000000000000001110100000000011011001010010000000000000000000110000010100100100010000000000110110100000011111111010000000000000000000110100000000001101101000000111111110011111111000000000000000001110000000010011011001010010000000000000000000110111100100100100011000000000110110100000011111111010000000000000000000110110000000001101101000000111111110011111111000000000000000001110100000010011011001010010000000000000000000110011100100100100010000000100110110100000011111111010000000000000000000110100000001001101101000000111111110011111111000000000000000001110000000001011011001010010000000000000000000110101100100100100011000000100110110100000011111111010000000000000000000110110000001001101101000000111111110011111111000000000000000001110100000001011011001010010000000000000000000110001100100100100010000000010110110100000011111111010000000000000000000110100000000101101101000000111111110011111111000000000000000001110000000011011011001010010000000000000000000110110100100100100011000000010110110100000011111111010000000000000000000110110000000101101101000000111111110011111111000000000000000001110100000011011011001010010000000000000000000110010100100100100010000000110110110100000011111111010000000000000000000110100000001101101101000000111111110011111111000000000000000001110000000000111011001010010000000000000000000110100100100100100011000000110110110100000011111111010000000000000000000110110000001101101101000000111111110011111111000000000000000001110100000000111011001010010000000000000000000110000100100100100010000000001110110100000011111111010000000000000000000110100000000011101101000000111111110011111111000000000000000001110000000010111011001010010000000000000000000110111000100100100011000000001110110100000011111111010000000000000000000110110000000011101101000000111111110011111111000000000000000001110100000010111011001010010000000000000000000110011000100100100010000000101110110100000011111111010000000000000000000110100000001011101101000000111111110011111111000000000000000001110000000001111011001010010000000000000000000110101000100100100011000000101110110100000011111111010000000000000000000110110000001011101101000000111111110011111111000000000000000001110100000001111011001010010000000000000000000110001000100100100010000000011110110100000011111111010000000000000000000110100000000111101101000000111111110011111111000000000000000001110000000011111011001010010000000000000000000110110000100100100011000000011110110100000011111111010000000000000000000110110000000111101101000000111111110011111111000000000000000001110100000011111011001010010000000000000000000110010000100100100010000000111110110100000011111111010000000000000000000110100000001111101101000000111111110011111111000000000000000001110000000000000111001010010000000000000000000110100000100100100011000000111110110100000011111111010000000000000000000110110000001111101101000000111111110011111111000000000000000001110100000000000111001010010000000000000000000110000000100100100010000000000001110100000011111111010000000000000000000110100000000000011101000000111111110011111111000000000000000001110000000010000111001010010000000000000000000110111111000100100011000000000001110100000011111111010000000000000000000110110000000000011101000000111111110011111111000000000000000001110100000010000111001010010000000000000000000110011111000100100010000000100001110100000011111111010000000000000000000110100000001000011101000000111111110011111111000000000000000001110000000001000111001010010000000000000000000110101111000100100011000000100001110100000011111111010000000000000000000110110000001000011101000000111111110011111111000000000000000001110100000001000111001010010000000000000000000110001111000100100010000000010001110100000011111111010000000000000000000110100000000100011101000000111111110011111111000000000000000001110000000011000111001010010000000000000000000110110111000100100011000000010001110100000011111111010000000000000000000110110000000100011101000000111111110011111111000000000000000001110100000011000111001010010000000000000000000110010111000100100010000000110001110100000011111111010000000000000000000110100000001100011101000000111111110011111111000000000000000001110000000000100111001010010000000000000000000110100111000100100011000000110001110100000011111111010000000000000000000110110000001100011101000000111111110011111111000000000000000001110100000000100111001010010000000000000000000110000111000100100010000000001001110100000011111111010000000000000000000110100000000010011101000000111111110011111111000000000000000001110000000010100111001010010000000000000000000110111011000100100011000000001001110100000011111111010000000000000000000110110000000010011101000000111111110011111111000000000000000001110100000010100111001010010000000000000000000110011011000100100010000000101001110100000011111111010000000000000000000110100000001010011101000000111111110011111111000000000000000001110000000001100111001010010000000000000000000110101011000100100011000000101001110100000011111111010000000000000000000110110000001010011101000000111111110011111111000000000000000001110100000001100111001010010000000000000000000110001011000100100010000000011001110100000011111111010000000000000000000110100000000110011101000000111111110011111111000000000000000001110000000011100111001010010000000000000000000110110011000100100011000000011001110100000011111111010000000000000000000110110000000110011101000000111111110011111111000000000000000001110100000011100111001010010000000000000000000110010011000100100010000000111001110100000011111111010000000000000000000110100000001110011101000000111111110011111111000000000000000001110000000000010111001010010000000000000000000110100011000100100011000000111001110100000011111111010000000000000000000110110000001110011101000000111111110011111111000000000000000001110100000000010111001010010000000000000000000110000011000100100010000000000101110100000011111111010000000000000000000110100000000001011101000000111111110011111111000000000000000001110000000010010111001010010000000000000000000110111101000100100011000000000101110100000011111111010000000000000000000110110000000001011101000000111111110011111111000000000000000001110100000010010111001010010000000000000000000110011101000100100010000000100101110100000011111111010000000000000000000110100000001001011101000000111111110011111111000000000000000001110000000001010111001010010000000000000000000110101101000100100011000000100101110100000011111111010000000000000000000110110000001001011101000000111111110011111111000000000000000001110100000001010111001010010000000000000000000110001101000100100010000000010101110100000011111111010000000000000000000110100000000101011101000000111111110011111111000000000000000001110000000011010111001010010000000000000000000110110101000100100011000000010101110100000011111111010000000000000000000110110000000101011101000000111111110011111111000000000000000001110100000011010111001010010000000000000000000110010101000100100010000000110101110100000011111111010000000000000000000110100000001101011101000000111111110011111111000000000000000001110000000000110111001010010000000000000000000110100101000100100011000000110101110100000011111111010000000000000000000110110000001101011101000000111111110011111111000000000000000001110100000000110111001010010000000000000000000110000101000100100010000000001101110100000011111111010000000000000000000110100000000011011101000000111111110011111111000000000000000001110000000010110111001010010000000000000000000110111001000100100011000000001101110100000011111111010000000000000000000110110000000011011101000000111111110011111111000000000000000001110100000010110111001010010000000000000000000110011001000100100010000000101101110100000011111111010000000000000000000110100000001011011101000000111111110011111111000000000000000001110000000001110111001010010000000000000000000110101001000100100011000000101101110100000011111111010000000000000000000110110000001011011101000000111111110011111111000000000000000001110100000001110111001010010000000000000000000110001001000100100010000000011101110100000011111111010000000000000000000110100000000111011101000000111111110011111111000000000000000001110000000011110111001010010000000000000000000110110001000100100011000000011101110100000011111111010000000000000000000110110000000111011101000000111111110011111111000000000000000001110100000011110111001010010000000000000000000110010001000100100010000000111101110100000011111111010000000000000000000110100000001111011101000000111111110011111111000000000000000001110000000000001111001010010000000000000000000110100001000100100011000000111101110100000011111111010000000000000000000110110000001111011101000000111111110011111111000000000000000001110100000000001111001010010000000000000000000110000001000100100010000000000011110100000011111111010000000000000000000110100000000000111101000000111111110011111111000000000000000001110000000010001111001010010000000000000000000110111110000100100011000000000011110100000011111111010000000000000000000110110000000000111101000000111111110011111111000000000000000001110100000010001111001010010000000000000000000110011110000100100010000000100011110100000011111111010000000000000000000110100000001000111101000000111111110011111111000000000000000001110000000001001111001010010000000000000000000110101110000100100011000000100011110100000011111111010000000000000000000110110000001000111101000000111111110011111111000000000000000001110100000001001111001010010000000000000000000110001110000100100010000000010011110100000011111111010000000000000000000110100000000100111101000000111111110011111111000000000000000001110000000011001111001010010000000000000000000110110110000100100011000000010011110100000011111111010000000000000000000110110000000100111101000000111111110011111111000000000000000001110100000011001111001010010000000000000000000110010110000100100010000000110011110100000011111111010000000000000000000110100000001100111101000000111111110011111111000000000000000001110000000000101111001010010000000000000000000110100110000100100011000000110011110100000011111111010000000000000000000110110000001100111101000000111111110011111111000000000000000001110100000000101111001010010000000000000000000110000110000100100010000000001011110100000011111111010000000000000000000110100000000010111101000000111111110011111111000000000000000001110000000010101111001010010000000000000000000110111010000100100011000000001011110100000011111111010000000000000000000110110000000010111101000000111111110011111111000000000000000001110100000010101111001010010000000000000000000110011010000100100010000000101011110100000011111111010000000000000000000110100000001010111101000000111111110011111111000000000000000001110000000001101111001010010000000000000000000110101010000100100011000000101011110100000011111111010000000000000000000110110000001010111101000000111111110011111111000000000000000001110100000001101111001010010000000000000000000110001010000100100010000000011011110100000011111111010000000000000000000110100000000110111101000000111111110011111111000000000000000001110000000011101111001010010000000000000000000110110010000100100011000000011011110100000011111111010000000000000000000110110000000110111101000000111111110011111111000000000000000001110100000011101111001010010000000000000000000110010010000100100010000000111011110100000011111111010000000000000000000110100000001110111101000000111111110011111111000000000000000001110000000000011111001010010000000000000000000110100010000100100011000000111011110100000011111111010000000000000000000110110000001110111101000000111111110011111111000000000000000001110100000000011111001010010000000000000000000110000010000100100010000000000111110100000011111111010000000000000000000110100000000001111101000000111111110011111111000000000000000001110000000010011111001010010000000000000000000110111100000100100011000000000111110100000011111111010000000000000000000110110000000001111101000000111111110011111111000000000000000001110100000010011111001010010000000000000000000110011100000100100010000000100111110100000011111111010000000000000000000110100000001001111101000000111111110011111111000000000000000001110000000001011111001010010000000000000000000110101100000100100011000000100111110100000011111111010000000000000000000110110000001001111101000000111111110011111111000000000000000001110100000001011111001010010000000000000000000110001100000100100010000000010111110100000011111111010000000000000000000110100000000101111101000000111111110011111111000000000000000001110000000011011111001010010000000000000000000110110100000100100011000000010111110100000011111111010000000000000000000110110000000101111101000000111111110011111111000000000000000001110100000011011111001010010000000000000000000110010100000100100010000000110111110100000011111111010000000000000000000110100000001101111101000000111111110011111111000000000000000001110000000000111111001010010000000000000000000110100100000100100011000000110111110100000011111111010000000000000000000110110000001101111101000000111111110011111111000000000000000001110100000000111111001010010000000000000000000110000100000100100010000000001111110100000011111111010000000000000000000110100000000011111101000000111111110011111111000000000000000001110000000010111111001010010000000000000000000110111000000100100011000000001111110100000011111111010000000000000000000110110000000011111101000000111111110011111111000000000000000001110100000010111111001010010000000000000000000110011000000100100010000000101111110100000011111111010000000000000000000110100000001011111101000000111111110011111111000000000000000001110000000001111111001010010000000000000000000110101000000100100011000000101111110100000011111111010000000000000000000110110000001011111101000000111111110011111111000000000000000001110100000001111111001010010000000000000000000110001000000100100010000000011111110100000011111111010000000000000000000110100000000111111101000000111111110011111111000000000000000001110000000011111111000000010000000000000000000110110000000101100011000000011111110100000011111111010000000000000000000110110000000111111101000000111111110011111111000000000000000001110100000011111111001010010000000000000000000110010000000100100010000000111111110100000011111111010000000000000000000110100000001111111101000000111111110011111111000000000000000001110000000000000001001010010000000000000000000110100000000100100011000000111111110100000011111111010000000000000000000001110000001111111101000000111111110011111111000000000000000001110100000000000001001010010000000000000000000110100000000100000011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000000000000000001110100000000000001001011010000000000000000000110100000000100001011000000111111110100000011111111000000000000000000000001010000001111111101000000111111110101111111000 + 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + diff --git a/tools/qt_proj_test5/sdram-test_auto_stripped.stp b/tools/qt_proj_test5/sdram-test_auto_stripped.stp index 7256687..699ecf3 100644 --- a/tools/qt_proj_test5/sdram-test_auto_stripped.stp +++ b/tools/qt_proj_test5/sdram-test_auto_stripped.stp @@ -1,10 +1,10 @@ - + - + @@ -24,9 +24,43 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -80,9 +114,41 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -230,9 +296,41 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -341,131 +439,108 @@ - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + + - - - - - - - + + @@ -479,14 +554,6 @@ - - - - - - - - @@ -505,137 +572,181 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + - + + @@ -649,14 +760,6 @@ - - - - - - - - @@ -675,137 +778,181 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - + + + + + + + + + + - + + @@ -819,14 +966,6 @@ - - - - - - - - @@ -845,6 +984,73 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -853,7 +1059,7 @@ - + 'sdram_rw:sdr_rw|wbm_we_i' == falling edge @@ -862,8 +1068,8 @@ - 1111111111111100000000000000000000000000101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 - 1111111111111100000000000000000000000000101111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 + 111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 + 111111111111110000000000000000000000001111111111111111111111111111111111011111110000000000000000000000000000000010000000000000000000000100000000000000000000000000000000110000000011 @@ -881,16 +1087,23 @@ + + 000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000000110111111111111111110110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011100110110000000110111111111111111110100010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111111110010000000000000001000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111100010010000000000000000000000111000000100111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000111110000011000000000000001110111111111111111101010010000000000000000000000111000000110111001000000000000000000000000000000010000000000000000000000100000000000000000000000000000000011110000011000000000000000110111111111111111101000010000000000000000000000011000000100111000000000100000000100000000000000010000000000000000000000100000000000000001000000000000000011110000011000000000000001110000000000000000001010010000000000000000000000101000000100111001000000100000000000000010000000010000000000000000000000100000000000000000000000100000000011110000011000000000000001110000000000000000001010010000000000000000000000001000000100111000000000010000000100000010000000010000000000000000000000100000000000000001000000100000000011110000011000000000000001110000000010000000001010010000000000000000000000110000000100111001000000010000000000000001000000010000000000000000000000100000000000000000000000010000000011110000011000000000000001110000000010000000001010010000000000000000000000010000000100111000000000110000000100000001000000010000000000000000000000100000000000000001000000010000000011110000011000000000000001110000000001000000001010010000000000000000000000100000000100111001000000110000000000000011000000010000000000000000000000100000000000000000000000110000000011110000011000000000000001110000000001000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000000000000100000000000000001000000110000000011110000011000000000000001110000000011000000001010010000000000000000000000000000000100111000000000001000000100000011000000010000000000000001000000100000000000000001000000110000000011110000011000000000000001110100000011000000010100010000000000000000000000000000000101100100000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000000100000100110000000000001000000100000011000000000000000000000001000000100000000000000001000000110000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100101001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000000000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000000010010000000000000001000000111000000100111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000000000000000000001000000100000000000000000000000001000000111110000011000000000000001110100000011000000001010010000000000000001000000111000000110111001000000001000000000000000100000010000000000000001000000100000000000000000000000001000000011110000011000001000000000110100000011000000001000010000000000000001000000011000000100111000000000101000000100000000100000010000000000000001000000100000000000000001000000001000000011110000011000000000000001110000000000100000001010010000000000000001000000101000000100111001000000101000000000000010100000010000000000000001000000100000000000000000000000101000000011110000011000000000000001110000000000100000001010010000000000000001000000001000000100111000000000011000000100000010100000010000000000000001000000100000000000000001000000101000000011110000011000000000000001110000000010100000001010010000000000000001000000110000000100111001000000011000000000000001100000010000000000000001000000100000000000000000000000011000000011110000011000000000000001110000000010100000001010010000000000000001000000010000000100111000000000111000000100000001100000010000000000000001000000100000000000000001000000011000000011110000011000000000000001110000000001100000001010010000000000000001000000100000000100111001000000111000000000000011100000010000000000000001000000100000000000000000000000111000000011110000011000000000000001110100000001100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000001000000100000000000000001000000111000000011110000011000000000000001110000000011100000001010010000000000000001000000000000000100111000000000000100000100000011100000010000000000000000100000100000000000000001000000111000000011110000011000000000000001110100000011100000010100010000000000000001000000000000000101000100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000100000100000011100000000000000000000000100000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000000000100010100000000000000000100000011100000000000000000000000000000000000000000000001000000111000000101110000010000000000000001110100000011100000001010010000000000000001000000000100000100101100000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000000010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000110100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000000110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000011100000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110000000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000000000000000000000000000100000000000000001000000111000000111110000000000000000000001110100000000000000001010010000000000000000000000000100000100100010000000000000000100000011100000010000000000000000000000100000000000000001000000111000000011110000000000000000000001110000000010000000001010010000000000000000000000111000000100100011000000000000000100000011100000010000000000000000000000110000000000000001000000111000000011110000000000000000000001110100000010000000001010010000000000000000000000011000000100100010000000100000000100000011100000010000000000000000000000100000001000000001000000111000000011110000000000000000000001110000000001000000001010010000000000000000000000101000000100100011000000100000000100000011100000010000000000000000000000110000001000000001000000111000000011110000000000000000000001110100000001000000001010010000000000000000000000001000000100100010000000010000000100000011100000010000000000000000000000100000000100000001000000111000000011110000000000000000000001110000000011000000000000010000000000000000000000110000000101100011000000010000000100000011100000010000000000000000000000110000000100000001000000111000000011110000000000000000000001110100000011000000001010010000000000000000000000010000000100100010000000110000000100000011100000010000000000000000000000100000001100000001000000111000000011110000000000000000000001110000000000100000001010010000000000000000000000100000000100100011000000110000000100000011100000010000000000000001000000110000001100000001000000111000000011110000000000000000000001110100000000100000001010010000000000000000000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000000010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000110100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000001000000000110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110000000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000000000000000000001000000110000001100000001000000111000000111110000000000000000000001110100000000100000001010010000000000000001000000000100000100100010000000001000000100000011100000010000000000000001000000100000000010000001000000111000000011110000000000000000000001110000000010100000001010010000000000000001000000111000000100100011000000001000000100000011100000010000000000000001000000110000000010000001000000111000000011110000000000000000000001110100000010100000001010010000000000000001000000011000000100100010000000101000000100000011100000010000000000000001000000100000001010000001000000111000000011110000000000000000000001110000000001100000001010010000000000000001000000101000000100100011000000101000000100000011100000010000000000000001000000110000001010000001000000111000000011110000000000000000000001110100000001100000001010010000000000000001000000001000000100100010000000011000000100000011100000010000000000000001000000100000000110000001000000111000000011110000000000000000000001110000000011100000000000010000000000000001000000110000000101100011000000011000000100000011100000010000000000000001000000110000000110000001000000111000000011110000000000000000000001110100000011100000001010010000000000000001000000010000000100100010000000111000000100000011100000010000000000000001000000100000001110000001000000111000000011110000000000000000000001110000000000010000001010010000000000000001000000100000000100100011000000111000000100000011100000010000000000000000100000110000001110000001000000111000000011110000000000000000000001110100000000010000001010010000000000000001000000100000000100000011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000000000000000001110100000000010000001011010000000000000001000000100000000100001011000000111000000100000011100000000000000000000000100000010000001110000001000000111000000101110000000 + 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + - - - + + + + + + diff --git a/tools/qt_proj_test5/sdram_controller.vhd b/tools/qt_proj_test5/sdram_controller.vhd index f08c4f5..c95f56f 100644 --- a/tools/qt_proj_test5/sdram_controller.vhd +++ b/tools/qt_proj_test5/sdram_controller.vhd @@ -420,7 +420,7 @@ begin end if; blen_cnt <= blen_cnt - 1; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; when WRITE1_ST => --Write state: Burst @@ -431,7 +431,7 @@ begin end if; blen_cnt <= blen_cnt - 1; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; when WRITE_BST_STOP_ST => --Precharge (Also stops burst) wbs_err_o <= oor_r; --WISHBONE ERR_O flag will rise in case of OOR burst @@ -443,7 +443,7 @@ begin when READ1_ST => --Nothing happens (1 of 3) next_state <= READ2_ST; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; when READ2_ST => --Nothing happens (2 of 3) if blen_cnt <=1 then --Only one data chunk are being read @@ -452,7 +452,7 @@ begin next_state <= READ3_ST; end if; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; when READ3_ST => --Nothing happens (3 of 3) if blen_cnt <=2 then --Only two data chunks are being read @@ -461,7 +461,7 @@ begin next_state <= READ4_ST; end if; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; when READ4_ST => --Data delay, since 'dram_dq' data comes right after clock's rising edge if blen_cnt <=3 then --Only three data chunks are being read @@ -470,7 +470,7 @@ begin next_state <= READ5_ST; end if; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; when READ5_ST => --Read data (burst) if blen_cnt <= 4 then --Precharge Command (for Burst Stop and Precharge) is required @@ -480,13 +480,13 @@ begin end if; blen_cnt <= blen_cnt - 1; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; when READ_BST_STOP_ST => --Precharge (Also stops burst) if blen_cnt > 0 then blen_cnt <= blen_cnt - 1; --REMOVE ME: (For SDRAM_MODEL Simulation only. This line does has no influence on the SDRAM itself) - address_r <= address_r + '1'; + --address_r <= address_r + '1'; end if; next_state <= WAIT_PRE_ST; @@ -594,12 +594,12 @@ begin dram_bank_r <= address_r(21 downto 20); else --FOR SDRAM_MODEL Simulation only: - dram_addr_r <= "0000" & address_r(7 downto 0); --Write /read without auto-precharge - dram_bank_r <= address_r(21 downto 20); + --dram_addr_r <= "0000" & address_r(7 downto 0); --Write /read without auto-precharge + --dram_bank_r <= address_r(21 downto 20); --TODO: After removing SDRAM_MODEL Simulation lines, uncommand these two lines - --dram_addr_r <= (others => '0'); - --dram_bank_r <= "00"; + dram_addr_r <= (others => '0'); + dram_bank_r <= "00"; end if; end if; end process addr_proc; diff --git a/tools/qt_proj_test5/sdram_rw.vhd b/tools/qt_proj_test5/sdram_rw.vhd index 918346f..07fb11e 100644 --- a/tools/qt_proj_test5/sdram_rw.vhd +++ b/tools/qt_proj_test5/sdram_rw.vhd @@ -66,17 +66,17 @@ end entity sdram_rw; architecture arc_sdram_rw of sdram_rw is -------------------------------- Types -------------------------------------- - type states is ( START_WRITE_ST, -- Initilize Writing - WRITE_ST, -- Command SDRAM controller to write value (32bit) - WAIT_WRITE_ACK_ST, -- Wait for SDRAM controller to acknowledge - WRITE_BURST, -- Burst write - WRITE_WAIT_ST, -- Increment written data value, and repeat write process - START_READ_ST, -- Wait for Write to Read delay - READ_ST, -- Command SDRAM controller to read data - WAIT_READ_ACK_ST, -- Wait for SDRAM controller to acknowledge - READ_BURST, -- Burst Read, Compare between read and expected data - READ_WAIT_ST, -- End burst, Compare between read and expected data, repeat reading - DONE_ST -- Test done + type states is ( START_WRITE_ST, -- 0: Initilize Writing + WRITE_ST, -- 1: Command SDRAM controller to write value (32bit) + WAIT_WRITE_ACK_ST, -- 2: Wait for SDRAM controller to acknowledge + WRITE_BURST, -- 3: Burst write + WRITE_WAIT_ST, -- 4: Increment written data value, and repeat write process + START_READ_ST, -- 5: Wait for Write to Read delay + READ_ST, -- 6: Command SDRAM controller to read data + WAIT_READ_ACK_ST, -- 7: Wait for SDRAM controller to acknowledge + READ_BURST, -- 8: Burst Read, Compare between read and expected data + READ_WAIT_ST, -- 9: End burst, Compare between read and expected data, repeat reading + DONE_ST -- A: Test done ); -------------------------------- Constants ----------------------------------------- @@ -212,21 +212,28 @@ architecture arc_sdram_rw of sdram_rw is when READ_BURST => --Wait until end of valid data after burst if (wbm_stall_i = '1') then null; - elsif (wbm_ack_i ='1') and (blen > 0) then --Data is being read from SDRAM - if (wbm_dat_i /= mem_value) then --Compare between read value and expected value - red_led_r <= '1'; - --state <= DONE_ST; --Test fail - abort Test - end if; - mem_value <= mem_value + '1'; --Increment expected value - blen <= blen - 1; - elsif (conv_integer(addr_r) < MAX_ADDR) then - addr_r <= addr_r + conv_std_logic_vector(ADDR_INC, 22); -- add 256 - state <= READ_ST; - else - state <= DONE_ST; - cmd_r <= '0'; + elsif (wbm_ack_i ='1') then --Data is abailable + if (addr_r >= conv_std_logic_vector(MAX_ADDR, 22)) then + state <= DONE_ST; + cmd_r <= '0'; + else + if (wbm_dat_i /= mem_value) then --Compare between read value and expected value + red_led_r <= '1'; + state <= DONE_ST; --Test fail - abort Test + else + mem_value <= mem_value + '1'; --Increment expected value + end if; + if (blen = 2) then + addr_r <= addr_r + conv_std_logic_vector(ADDR_INC, 22); -- add 256 + end if; + if (blen = 1) then + blen <= BURST_LENGTH; + else + blen <= blen - 1; + end if; + end if; end if; - + when DONE_ST => --Test done state <= DONE_ST; if (red_led_r = '0') then -- 2.11.0