From 93ef79679fa753a5e33ec58600b08b1d55fe7305 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Wed, 28 Sep 2016 21:24:01 +0900 Subject: [PATCH 1/1] smb rom code created. --- de0_cv_nes/de0_cv_nes.qsf | 715 +++++++------- de0_cv_nes/dummy-mos6502.vhd | 62 +- de0_cv_nes/dummy-smb-rom.vhd | 307 ++++++ de0_cv_nes/sample1-chr.hex | 1020 ++++++++++---------- .../modelsim/de0_cv_nes_run_msim_rtl_vhdl.do | 6 +- 5 files changed, 1202 insertions(+), 908 deletions(-) create mode 100644 de0_cv_nes/dummy-smb-rom.vhd diff --git a/de0_cv_nes/de0_cv_nes.qsf b/de0_cv_nes/de0_cv_nes.qsf index e676255..bac3b4d 100644 --- a/de0_cv_nes/de0_cv_nes.qsf +++ b/de0_cv_nes/de0_cv_nes.qsf @@ -97,7 +97,7 @@ set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to pi_base_clk #project files set_global_assignment -name VHDL_FILE mem/ram.vhd set_global_assignment -name VHDL_FILE chip_selector.vhd -set_global_assignment -name VHDL_FILE apu.vhd +#set_global_assignment -name VHDL_FILE apu.vhd #ppu set_global_assignment -name VHDL_FILE mem/chr_rom.vhd @@ -106,9 +106,10 @@ set_global_assignment -name VHDL_FILE ppu/ppu.vhd #set_global_assignment -name VHDL_FILE dummy-ppu.vhd #cpu -set_global_assignment -name VHDL_FILE mem/prg_rom.vhd -set_global_assignment -name VHDL_FILE mos6502.vhd +#set_global_assignment -name VHDL_FILE mem/prg_rom.vhd +#set_global_assignment -name VHDL_FILE mos6502.vhd #set_global_assignment -name VHDL_FILE dummy-mos6502.vhd +set_global_assignment -name VHDL_FILE "dummy-smb-rom.vhd" set_global_assignment -name VHDL_FILE de0_cv_nes.vhd @@ -136,369 +137,353 @@ set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLE set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=8192" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to pi_rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to po_dbg_cnt[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to po_dbg_cnt[10] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to po_dbg_cnt[11] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to po_dbg_cnt[12] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to po_dbg_cnt[13] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to po_dbg_cnt[14] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to po_dbg_cnt[15] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to po_dbg_cnt[16] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to po_dbg_cnt[17] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to po_dbg_cnt[18] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to po_dbg_cnt[19] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to po_dbg_cnt[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to po_dbg_cnt[20] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to po_dbg_cnt[21] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to po_dbg_cnt[22] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to po_dbg_cnt[23] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to po_dbg_cnt[24] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to po_dbg_cnt[25] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to po_dbg_cnt[26] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to po_dbg_cnt[27] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to po_dbg_cnt[28] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to po_dbg_cnt[29] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to po_dbg_cnt[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to po_dbg_cnt[30] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to po_dbg_cnt[31] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to po_dbg_cnt[32] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to po_dbg_cnt[33] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to po_dbg_cnt[34] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to po_dbg_cnt[35] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to po_dbg_cnt[36] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to po_dbg_cnt[37] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to po_dbg_cnt[38] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to po_dbg_cnt[39] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to po_dbg_cnt[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to po_dbg_cnt[40] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to po_dbg_cnt[41] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to po_dbg_cnt[42] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to po_dbg_cnt[43] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to po_dbg_cnt[44] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to po_dbg_cnt[45] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to po_dbg_cnt[46] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to po_dbg_cnt[47] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to po_dbg_cnt[48] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to po_dbg_cnt[49] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to po_dbg_cnt[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to po_dbg_cnt[50] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to po_dbg_cnt[51] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to po_dbg_cnt[52] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to po_dbg_cnt[53] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to po_dbg_cnt[54] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to po_dbg_cnt[55] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to po_dbg_cnt[56] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to po_dbg_cnt[57] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to po_dbg_cnt[58] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to po_dbg_cnt[59] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to po_dbg_cnt[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to po_dbg_cnt[60] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to po_dbg_cnt[61] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to po_dbg_cnt[62] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to po_dbg_cnt[63] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to po_dbg_cnt[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to po_dbg_cnt[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to po_dbg_cnt[8] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to po_dbg_cnt[9] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to po_exc_cnt[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to po_exc_cnt[10] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to po_exc_cnt[11] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to po_exc_cnt[12] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to po_exc_cnt[13] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to po_exc_cnt[14] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to po_exc_cnt[15] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to po_exc_cnt[16] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to po_exc_cnt[17] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to po_exc_cnt[18] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to po_exc_cnt[19] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to po_exc_cnt[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to po_exc_cnt[20] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to po_exc_cnt[21] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to po_exc_cnt[22] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to po_exc_cnt[23] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to po_exc_cnt[24] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to po_exc_cnt[25] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to po_exc_cnt[26] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to po_exc_cnt[27] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to po_exc_cnt[28] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to po_exc_cnt[29] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to po_exc_cnt[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to po_exc_cnt[30] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to po_exc_cnt[31] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to po_exc_cnt[32] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to po_exc_cnt[33] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to po_exc_cnt[34] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to po_exc_cnt[35] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to po_exc_cnt[36] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to po_exc_cnt[37] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to po_exc_cnt[38] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to po_exc_cnt[39] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to po_exc_cnt[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to po_exc_cnt[40] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to po_exc_cnt[41] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to po_exc_cnt[42] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to po_exc_cnt[43] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to po_exc_cnt[44] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to po_exc_cnt[45] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to po_exc_cnt[46] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to po_exc_cnt[47] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to po_exc_cnt[48] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to po_exc_cnt[49] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to po_exc_cnt[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to po_exc_cnt[50] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to po_exc_cnt[51] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to po_exc_cnt[52] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to po_exc_cnt[53] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to po_exc_cnt[54] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to po_exc_cnt[55] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to po_exc_cnt[56] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to po_exc_cnt[57] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to po_exc_cnt[58] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to po_exc_cnt[59] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to po_exc_cnt[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to po_exc_cnt[60] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to po_exc_cnt[61] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to po_exc_cnt[62] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to po_exc_cnt[63] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to po_exc_cnt[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to po_exc_cnt[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to po_exc_cnt[8] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to po_exc_cnt[9] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "mos6502:cpu_inst|reg_acc[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "mos6502:cpu_inst|reg_acc[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "mos6502:cpu_inst|reg_acc[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "mos6502:cpu_inst|reg_acc[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "mos6502:cpu_inst|reg_acc[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to pi_rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to po_exc_cnt[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to po_exc_cnt[10] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to po_exc_cnt[11] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to po_exc_cnt[12] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to po_exc_cnt[13] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to po_exc_cnt[14] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to po_exc_cnt[15] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to po_exc_cnt[16] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to po_exc_cnt[17] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to po_exc_cnt[18] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to po_exc_cnt[19] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to po_exc_cnt[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to po_exc_cnt[20] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to po_exc_cnt[21] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to po_exc_cnt[22] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to po_exc_cnt[23] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to po_exc_cnt[24] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to po_exc_cnt[25] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to po_exc_cnt[26] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to po_exc_cnt[27] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to po_exc_cnt[28] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to po_exc_cnt[29] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to po_exc_cnt[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to po_exc_cnt[30] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to po_exc_cnt[31] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to po_exc_cnt[32] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to po_exc_cnt[33] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to po_exc_cnt[34] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to po_exc_cnt[35] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to po_exc_cnt[36] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to po_exc_cnt[37] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to po_exc_cnt[38] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to po_exc_cnt[39] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to po_exc_cnt[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to po_exc_cnt[40] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to po_exc_cnt[41] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to po_exc_cnt[42] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to po_exc_cnt[43] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to po_exc_cnt[44] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to po_exc_cnt[45] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to po_exc_cnt[46] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to po_exc_cnt[47] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to po_exc_cnt[48] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to po_exc_cnt[49] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to po_exc_cnt[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to po_exc_cnt[50] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to po_exc_cnt[51] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to po_exc_cnt[52] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to po_exc_cnt[53] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to po_exc_cnt[54] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to po_exc_cnt[55] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to po_exc_cnt[56] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to po_exc_cnt[57] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to po_exc_cnt[58] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to po_exc_cnt[59] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to po_exc_cnt[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to po_exc_cnt[60] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to po_exc_cnt[61] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to po_exc_cnt[62] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to po_exc_cnt[63] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to po_exc_cnt[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to po_exc_cnt[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to po_exc_cnt[8] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to po_exc_cnt[9] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "render:render_inst|reg_b[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "render:render_inst|reg_b[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "render:render_inst|reg_b[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "render:render_inst|reg_b[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "render:render_inst|reg_g[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "render:render_inst|reg_g[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "render:render_inst|reg_g[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "render:render_inst|reg_g[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "render:render_inst|reg_h_sync_n" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "render:render_inst|reg_r[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "render:render_inst|reg_r[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "render:render_inst|reg_r[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "render:render_inst|reg_r[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "render:render_inst|reg_v_addr[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "render:render_inst|reg_v_addr[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "render:render_inst|reg_v_addr[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "render:render_inst|reg_v_addr[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "render:render_inst|reg_v_addr[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "render:render_inst|reg_v_addr[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "render:render_inst|reg_v_addr[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "render:render_inst|reg_v_addr[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "render:render_inst|reg_v_addr[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "render:render_inst|reg_v_addr[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "render:render_inst|reg_v_addr[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "render:render_inst|reg_v_addr[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "render:render_inst|reg_v_addr[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "render:render_inst|reg_v_addr[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "render:render_inst|reg_v_data[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "render:render_inst|reg_v_data[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "render:render_inst|reg_v_data[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "render:render_inst|reg_v_data[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "render:render_inst|reg_v_data[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "render:render_inst|reg_v_data[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "render:render_inst|reg_v_data[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "render:render_inst|reg_v_data[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "render:render_inst|reg_v_sync_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=202" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=154" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=489" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=54419" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=45337" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "apu:apu_inst|reg_spr_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "apu:apu_inst|reg_spr_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "apu:apu_inst|reg_spr_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "apu:apu_inst|reg_spr_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "apu:apu_inst|reg_spr_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "apu:apu_inst|reg_spr_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "apu:apu_inst|reg_spr_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "apu:apu_inst|reg_spr_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "apu:apu_inst|reg_spr_data[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "apu:apu_inst|reg_spr_data[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "apu:apu_inst|reg_spr_data[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "apu:apu_inst|reg_spr_data[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "apu:apu_inst|reg_spr_data[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "apu:apu_inst|reg_spr_data[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "apu:apu_inst|reg_spr_data[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "apu:apu_inst|reg_spr_data[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "apu:apu_inst|reg_spr_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "apu:apu_inst|reg_spr_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "apu:apu_inst|reg_spr_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "apu:apu_inst|reg_spr_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "apu:apu_inst|reg_spr_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "apu:apu_inst|reg_spr_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "apu:apu_inst|reg_spr_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "apu:apu_inst|reg_spr_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "apu:apu_inst|reg_spr_data[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "apu:apu_inst|reg_spr_data[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "apu:apu_inst|reg_spr_data[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "apu:apu_inst|reg_spr_data[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "apu:apu_inst|reg_spr_data[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "apu:apu_inst|reg_spr_data[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "apu:apu_inst|reg_spr_data[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "apu:apu_inst|reg_spr_data[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "mos6502:cpu_inst|reg_acc[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "mos6502:cpu_inst|reg_acc[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "mos6502:cpu_inst|reg_acc[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "mos6502:cpu_inst|reg_acc[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "mos6502:cpu_inst|reg_acc[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to pi_rst_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to po_exc_cnt[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to po_exc_cnt[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to po_exc_cnt[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to po_exc_cnt[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to po_exc_cnt[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to po_exc_cnt[14] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to po_exc_cnt[15] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to po_exc_cnt[16] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to po_exc_cnt[17] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to po_exc_cnt[18] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to po_exc_cnt[19] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to po_exc_cnt[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to po_exc_cnt[20] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to po_exc_cnt[21] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to po_exc_cnt[22] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to po_exc_cnt[23] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to po_exc_cnt[24] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to po_exc_cnt[25] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to po_exc_cnt[26] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to po_exc_cnt[27] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to po_exc_cnt[28] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to po_exc_cnt[29] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to po_exc_cnt[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to po_exc_cnt[30] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to po_exc_cnt[31] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to po_exc_cnt[32] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to po_exc_cnt[33] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to po_exc_cnt[34] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to po_exc_cnt[35] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to po_exc_cnt[36] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to po_exc_cnt[37] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to po_exc_cnt[38] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to po_exc_cnt[39] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to po_exc_cnt[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to po_exc_cnt[40] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to po_exc_cnt[41] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to po_exc_cnt[42] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to po_exc_cnt[43] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to po_exc_cnt[44] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to po_exc_cnt[45] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to po_exc_cnt[46] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to po_exc_cnt[47] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to po_exc_cnt[48] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to po_exc_cnt[49] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to po_exc_cnt[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to po_exc_cnt[50] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to po_exc_cnt[51] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to po_exc_cnt[52] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to po_exc_cnt[53] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to po_exc_cnt[54] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to po_exc_cnt[55] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to po_exc_cnt[56] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to po_exc_cnt[57] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to po_exc_cnt[58] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to po_exc_cnt[59] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to po_exc_cnt[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to po_exc_cnt[60] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to po_exc_cnt[61] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to po_exc_cnt[62] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to po_exc_cnt[63] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to po_exc_cnt[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to po_exc_cnt[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to po_exc_cnt[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to po_exc_cnt[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "ppu:ppu_inst|reg_oam_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "ppu:ppu_inst|reg_oam_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "ppu:ppu_inst|reg_oam_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "ppu:ppu_inst|reg_oam_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "ppu:ppu_inst|reg_oam_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "ppu:ppu_inst|reg_oam_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "ppu:ppu_inst|reg_oam_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "ppu:ppu_inst|reg_oam_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "ppu:ppu_inst|reg_oam_data[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "ppu:ppu_inst|reg_oam_data[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "ppu:ppu_inst|reg_oam_data[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "ppu:ppu_inst|reg_oam_data[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "ppu:ppu_inst|reg_oam_data[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "ppu:ppu_inst|reg_oam_data[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "ppu:ppu_inst|reg_oam_data[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "ppu:ppu_inst|reg_oam_data[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "render:render_inst|reg_h_sync_n" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "render:render_inst|reg_v_sync_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=200" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to pi_rst_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to po_exc_cnt[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to po_exc_cnt[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to po_exc_cnt[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to po_exc_cnt[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to po_exc_cnt[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to po_exc_cnt[14] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to po_exc_cnt[15] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to po_exc_cnt[16] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to po_exc_cnt[17] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to po_exc_cnt[18] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to po_exc_cnt[19] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to po_exc_cnt[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to po_exc_cnt[20] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to po_exc_cnt[21] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to po_exc_cnt[22] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to po_exc_cnt[23] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to po_exc_cnt[24] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to po_exc_cnt[25] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to po_exc_cnt[26] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to po_exc_cnt[27] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to po_exc_cnt[28] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to po_exc_cnt[29] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to po_exc_cnt[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to po_exc_cnt[30] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to po_exc_cnt[31] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to po_exc_cnt[32] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to po_exc_cnt[33] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to po_exc_cnt[34] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to po_exc_cnt[35] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to po_exc_cnt[36] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to po_exc_cnt[37] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to po_exc_cnt[38] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to po_exc_cnt[39] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to po_exc_cnt[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to po_exc_cnt[40] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to po_exc_cnt[41] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to po_exc_cnt[42] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to po_exc_cnt[43] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to po_exc_cnt[44] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to po_exc_cnt[45] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to po_exc_cnt[46] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to po_exc_cnt[47] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to po_exc_cnt[48] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to po_exc_cnt[49] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to po_exc_cnt[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to po_exc_cnt[50] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to po_exc_cnt[51] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to po_exc_cnt[52] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to po_exc_cnt[53] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to po_exc_cnt[54] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to po_exc_cnt[55] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to po_exc_cnt[56] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to po_exc_cnt[57] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to po_exc_cnt[58] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to po_exc_cnt[59] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to po_exc_cnt[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to po_exc_cnt[60] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to po_exc_cnt[61] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to po_exc_cnt[62] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to po_exc_cnt[63] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to po_exc_cnt[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to po_exc_cnt[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to po_exc_cnt[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to po_exc_cnt[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "ppu:ppu_inst|reg_oam_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "ppu:ppu_inst|reg_oam_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "ppu:ppu_inst|reg_oam_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "ppu:ppu_inst|reg_oam_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to "ppu:ppu_inst|reg_oam_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to "ppu:ppu_inst|reg_oam_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to "ppu:ppu_inst|reg_oam_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to "ppu:ppu_inst|reg_oam_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to "ppu:ppu_inst|reg_oam_data[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to "ppu:ppu_inst|reg_oam_data[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to "ppu:ppu_inst|reg_oam_data[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to "ppu:ppu_inst|reg_oam_data[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to "ppu:ppu_inst|reg_oam_data[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to "ppu:ppu_inst|reg_oam_data[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to "ppu:ppu_inst|reg_oam_data[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to "ppu:ppu_inst|reg_oam_data[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=140" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=447" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=54880" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=39213" -section_id auto_signaltap_0 set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp" set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/de0_cv_nes/dummy-mos6502.vhd b/de0_cv_nes/dummy-mos6502.vhd index 4565935..c24c79d 100644 --- a/de0_cv_nes/dummy-mos6502.vhd +++ b/de0_cv_nes/dummy-mos6502.vhd @@ -68,19 +68,22 @@ procedure io_brk is use ieee.std_logic_unsigned.all; begin --fake ram read/write to emulate dummy i/o. - reg_addr <= "000" & dummy_ad; - dummy_ad <= dummy_ad + 1; - if (dummy_cnt = 0) then - reg_d_out <= (others => 'Z'); - reg_oe_n <= '1'; - reg_we_n <= '1'; - dummy_cnt <= 1; - else - reg_d_out <= dummy_ad(7 downto 0); - reg_oe_n <= '1'; - reg_we_n <= '1'; - dummy_cnt <= 0; - end if; +-- reg_addr <= "000" & dummy_ad; +-- dummy_ad <= dummy_ad + 1; +-- if (dummy_cnt = 0) then +-- reg_d_out <= (others => 'Z'); +-- reg_oe_n <= '1'; +-- reg_we_n <= '1'; +-- dummy_cnt <= 1; +-- else +-- reg_d_out <= dummy_ad(7 downto 0); +-- reg_oe_n <= '1'; +-- reg_we_n <= '1'; +-- dummy_cnt <= 0; +-- end if; + reg_d_out <= (others => 'Z'); + reg_oe_n <= 'Z'; + reg_we_n <= 'Z'; end; procedure io_read (ad: in integer) is @@ -142,7 +145,7 @@ end; elsif (init_step_cnt = 7 * cpu_io_multi) then io_out(16#2005#, 16#5#); else - io_brk; + io_read(16#00#); if (init_step_cnt > 8 * cpu_io_multi) then global_step_cnt := global_step_cnt + 1; end if; @@ -235,7 +238,7 @@ end; io_out(16#2007#, 16#31#); else - io_brk; + io_read(16#00#); if (plt_step_cnt > 30 * cpu_io_multi) then global_step_cnt := global_step_cnt + 1; end if; @@ -290,7 +293,7 @@ end; io_out(16#2007#, 16#00#); else - io_brk; + io_read(16#00#); if (nt_step_cnt > 4 * cpu_io_multi) then global_step_cnt := global_step_cnt + 1; end if; @@ -357,7 +360,7 @@ end; io_out(16#2004#, 45); else - io_brk; + io_read(16#00#); if (spr_step_cnt > 8 * cpu_io_multi) then global_step_cnt := global_step_cnt + 2; end if; @@ -389,16 +392,16 @@ end; -- (dma_step_cnt = (1 + j) * cpu_io_multi + 1) or -- (dma_step_cnt = (2 + j) * cpu_io_multi + 1) or -- (dma_step_cnt = (3 + j) * cpu_io_multi + 1) then --- io_brk; +-- io_read(16#00#); -- end if; -- else -- if (dma_step_cnt = (0 + j) * cpu_io_multi) then -- --start dma -- io_out(16#4014#, 16#02#); -- elsif (dma_step_cnt = (0 + j) * cpu_io_multi + 1) then --- io_brk; +-- io_read(16#00#); -- elsif (dma_step_cnt = (0 + j) * cpu_io_multi + 2) then - io_brk; + io_read(16#00#); global_step_cnt := global_step_cnt + 1; -- end if; -- end if; @@ -471,7 +474,7 @@ end; io_read(16#2007#); else - io_brk; + io_read(16#00#); if (scl_step_cnt > 17 * cpu_io_multi) then global_step_cnt := global_step_cnt + 1; end if; @@ -490,7 +493,7 @@ end; --PPUCTRL=80 io_out(16#2000#, 16#80#); else - io_brk; + io_read(16#00#); if (enable_ppu_step_cnt > 1 * cpu_io_multi) then --skip nmi test at this momemnt.. global_step_cnt := global_step_cnt + 3; @@ -511,11 +514,11 @@ end; elsif (nmi_step_cnt = 2 * cpu_io_multi) then --scroll x=0 -- io_out(16#2005#, nmi_scl_y); - io_brk; + io_read(16#00#); elsif (nmi_step_cnt = 3 * cpu_io_multi) then --scroll y++ -- io_out(16#2005#, nmi_scl_y); - io_brk; + io_read(16#00#); elsif (nmi_step_cnt = 4 * cpu_io_multi) then --set sprite addr=00 (first sprite) io_out(16#2003#, 16#04#); @@ -529,7 +532,7 @@ end; if (nmi_step_cnt mod 10 = 0) then nmi_scl_y := nmi_scl_y + 1; end if; - io_brk; + io_read(16#00#); if (nmi_step_cnt > 5 * cpu_io_multi) then ref_cnt := ref_cnt + 1; global_step_cnt := global_step_cnt + 1; @@ -544,17 +547,14 @@ end; global_step_cnt := global_step_cnt - 1; end if; else - io_brk; + io_read(16#00#); init_done := '1'; end if; else - io_brk; + io_read(16#00#); end if;--if (init_done = '0') then else - reg_oe_n <= '1'; - reg_we_n <= '1'; - reg_addr <= (others => 'Z'); - reg_d_out <= (others => 'Z'); + io_brk; end if;--if (rdy = '1') then end if;--if (pi_cpu_en(0) = '1') then end if; --if (rst_n = '0') then diff --git a/de0_cv_nes/dummy-smb-rom.vhd b/de0_cv_nes/dummy-smb-rom.vhd new file mode 100644 index 0000000..2015913 --- /dev/null +++ b/de0_cv_nes/dummy-smb-rom.vhd @@ -0,0 +1,307 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity mos6502 is + port ( + pi_rst_n : in std_logic; + pi_base_clk : in std_logic; + pi_cpu_en : in std_logic_vector (7 downto 0); + pi_rdy : in std_logic; + pi_irq_n : in std_logic; + pi_nmi_n : in std_logic; + po_oe_n : out std_logic; + po_we_n : out std_logic; + po_addr : out std_logic_vector ( 15 downto 0); + pio_d_io : inout std_logic_vector ( 7 downto 0); + + --for debugging.. + po_dbg_cnt : out std_logic_vector (63 downto 0); + po_exc_cnt : out std_logic_vector (63 downto 0) + ); +end mos6502; + +architecture rtl of mos6502 is + +signal reg_oe_n : std_logic; +signal reg_we_n : std_logic; +signal reg_addr : std_logic_vector ( 15 downto 0); +signal reg_d_in : std_logic_vector ( 7 downto 0); +signal reg_d_out : std_logic_vector ( 7 downto 0); + + + +type nes_plt_array is array (0 to 63) of integer; + +constant nes_palette_data : nes_plt_array := ( +16#aa#, 16#aa#, 16#ea#, 16#aa#, 16#aa#, 16#aa#, 16#aa#, 16#aa#, 16#00#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, +16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#00#, +16#00#, 16#00#, 16#99#, 16#aa#, 16#aa#, 16#aa#, 16#00#, 16#00#, 16#00#, 16#00#, 16#99#, 16#aa#, 16#aa#, 16#aa#, 16#00#, 16#00#, +16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05# +); + +type nes_bg_array is array (0 to 1023) of integer; +constant nes_bg_data : nes_bg_array := ( +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#16#, 16#0a#, 16#1b#, 16#12#, 16#18#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#20#, 16#18#, 16#1b#, 16#15#, 16#0d#, 16#24#, 16#24#, 16#1d#, 16#12#, 16#16#, 16#0e#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#24#, 16#24#, 16#2e#, 16#29#, 16#00#, 16#00#, 16#24#, +16#24#, 16#24#, 16#24#, 16#01#, 16#28#, 16#01#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#44#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, +16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#48#, 16#49#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#d0#, 16#d1#, 16#d8#, 16#d8#, 16#de#, 16#d1#, 16#d0#, 16#da#, 16#de#, 16#d1#, +16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#d2#, 16#d3#, 16#db#, 16#db#, 16#db#, 16#d9#, 16#db#, 16#dc#, 16#db#, 16#df#, +16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#d4#, 16#d5#, 16#d4#, 16#d9#, 16#db#, 16#e2#, 16#d4#, 16#da#, 16#db#, 16#e0#, +16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#d6#, 16#d7#, 16#d6#, 16#d7#, 16#e1#, 16#26#, 16#d6#, 16#dd#, 16#e1#, 16#e1#, +16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#d0#, 16#e8#, 16#d1#, 16#d0#, 16#d1#, 16#de#, 16#d1#, 16#d8#, 16#d0#, 16#d1#, +16#26#, 16#de#, 16#d1#, 16#de#, 16#d1#, 16#d0#, 16#d1#, 16#d0#, 16#d1#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#db#, 16#42#, 16#42#, 16#db#, 16#42#, 16#db#, 16#42#, 16#db#, 16#db#, 16#42#, +16#26#, 16#db#, 16#42#, 16#db#, 16#42#, 16#db#, 16#42#, 16#db#, 16#42#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#db#, 16#db#, 16#db#, 16#db#, 16#db#, 16#db#, 16#df#, 16#db#, 16#db#, 16#db#, +16#26#, 16#db#, 16#df#, 16#db#, 16#df#, 16#db#, 16#db#, 16#e4#, 16#e5#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#db#, 16#db#, 16#db#, 16#de#, 16#43#, 16#db#, 16#e0#, 16#db#, 16#db#, 16#db#, +16#26#, 16#db#, 16#e3#, 16#db#, 16#e0#, 16#db#, 16#db#, 16#e6#, 16#e3#, 16#26#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#46#, 16#db#, 16#db#, 16#db#, 16#db#, 16#42#, 16#db#, 16#db#, 16#db#, 16#d4#, 16#d9#, +16#26#, 16#db#, 16#d9#, 16#db#, 16#db#, 16#d4#, 16#d9#, 16#d4#, 16#d9#, 16#e7#, 16#4a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#5f#, 16#95#, 16#95#, 16#95#, 16#95#, 16#95#, 16#95#, 16#95#, 16#95#, 16#97#, 16#98#, +16#78#, 16#95#, 16#96#, 16#95#, 16#95#, 16#97#, 16#98#, 16#97#, 16#98#, 16#95#, 16#7a#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#cf#, 16#01#, 16#09#, +16#08#, 16#05#, 16#24#, 16#17#, 16#12#, 16#17#, 16#1d#, 16#0e#, 16#17#, 16#0d#, 16#18#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#ce#, 16#24#, 16#01#, 16#24#, 16#19#, 16#15#, 16#0a#, +16#22#, 16#0e#, 16#1b#, 16#24#, 16#10#, 16#0a#, 16#16#, 16#0e#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#02#, 16#24#, 16#19#, 16#15#, 16#0a#, +16#22#, 16#0e#, 16#1b#, 16#24#, 16#10#, 16#0a#, 16#16#, 16#0e#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#31#, 16#32#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#30#, 16#26#, 16#34#, 16#33#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#30#, 16#26#, 16#26#, 16#26#, 16#26#, 16#33#, 16#24#, 16#24#, 16#24#, 16#24#, 16#1d#, 16#18#, 16#19#, 16#28#, +16#24#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#00#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#30#, 16#26#, 16#34#, 16#26#, 16#26#, 16#34#, 16#26#, 16#33#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#36#, 16#37#, 16#36#, 16#37#, 16#36#, 16#37#, 16#24#, 16#24#, +16#30#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#26#, 16#33#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, +16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#24#, 16#35#, 16#25#, 16#25#, 16#25#, 16#25#, 16#25#, 16#25#, 16#38#, 16#24#, +16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, +16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, +16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, +16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, +16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, +16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, 16#b4#, 16#b5#, +16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, +16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, 16#b6#, 16#b7#, +16#aa#, 16#aa#, 16#ea#, 16#aa#, 16#aa#, 16#aa#, 16#aa#, 16#aa#, 16#00#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, +16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#55#, 16#00#, +16#00#, 16#00#, 16#99#, 16#aa#, 16#aa#, 16#aa#, 16#00#, 16#00#, 16#00#, 16#00#, 16#99#, 16#aa#, 16#aa#, 16#aa#, 16#00#, 16#00#, +16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#50#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05#, 16#05# +); + +begin + + po_oe_n <= reg_oe_n; + po_we_n <= reg_we_n; + po_addr <= reg_addr; + pio_d_io <= reg_d_out; + reg_d_in <= pio_d_io; + + --set ppu value... + set_ppu_p : process (pi_base_clk, pi_rst_n) + use ieee.std_logic_arith.conv_std_logic_vector; + + constant cpu_io_multi : integer := 3; --io happens every 4 cpu cycle. + variable init_plt_cnt : integer; + variable init_vram_cnt : integer; + variable init_done : std_logic; + variable global_step_cnt : integer; + + variable ref_cnt : integer range 0 to 120; + +procedure io_out (ad: in integer; dt : in integer) is +begin + reg_oe_n <= '1'; + reg_we_n <= '0'; + reg_addr <= conv_std_logic_vector(ad, 16); + reg_d_out <= conv_std_logic_vector(dt, 8); +end; + +procedure io_brk is +use ieee.std_logic_unsigned.all; +begin + --fake ram read/write to emulate dummy i/o. + reg_d_out <= (others => 'Z'); + reg_oe_n <= 'Z'; + reg_we_n <= 'Z'; +end; + +procedure io_read (ad: in integer) is +begin + reg_oe_n <= '0'; + reg_we_n <= '1'; + reg_addr <= conv_std_logic_vector(ad, 16); + reg_d_out <= (others => 'Z'); +end; + + begin + if (pi_rst_n = '0') then + + reg_oe_n <= '1'; + reg_we_n <= '1'; + reg_addr <= (others => 'Z'); + reg_d_out <= (others => 'Z'); + + init_done := '0'; + global_step_cnt := 0; + init_plt_cnt := 0; + init_vram_cnt := 0; + + elsif (rising_edge(pi_base_clk)) then + if (pi_cpu_en(0) = '1') then + if (pi_rdy = '1') then + if (init_done = '0') then + if (global_step_cnt = 0) then + --step0.0 = init ppu. + if (init_plt_cnt = 0 * cpu_io_multi) then + --PPUCTRL=00 + io_out(16#2000#, 16#00#); + elsif (init_plt_cnt = 1 * cpu_io_multi) then + --PPUMASK=00 + io_out(16#2001#, 16#00#); + + --set vram addr 3f00 + elsif (init_plt_cnt = 2 * cpu_io_multi) then + io_out(16#2006#, 16#3f#); + elsif (init_plt_cnt = 3 * cpu_io_multi) then + io_out(16#2006#, 16#00#); + + elsif (init_plt_cnt mod cpu_io_multi = 0 and init_plt_cnt <= (64 + 4) * cpu_io_multi) then + --ppuaddr + io_out(16#2007#, nes_palette_data((init_plt_cnt -4)/ 4)); + + else + io_read(16#00#); + if (init_plt_cnt > (64 + 3) * cpu_io_multi) then + global_step_cnt := global_step_cnt + 1; + end if; + end if; + init_plt_cnt := init_plt_cnt + 1; + + elsif (global_step_cnt = 1) then + --set vram addr 2000 + if (init_vram_cnt = 0* cpu_io_multi) then + io_out(16#2006#, 16#20#); + elsif (init_vram_cnt = 1 * cpu_io_multi) then + io_out(16#2006#, 16#00#); + + elsif (init_vram_cnt mod cpu_io_multi = 0 and init_vram_cnt <= (1023 + 2) * cpu_io_multi) then + --ppuaddr + io_out(16#2007#, nes_bg_data((init_vram_cnt -2)/ 4)); + + else + io_read(16#00#); + if (init_vram_cnt > (1023 + 2) * cpu_io_multi) then + global_step_cnt := global_step_cnt + 1; + end if; + end if; + init_vram_cnt := init_vram_cnt + 1; + + end if; + else + io_read(16#00#); + end if;--if (init_done = '0') then + else + io_brk; + end if;--if (rdy = '1') then + end if;--if (pi_cpu_en(0) = '1') then + end if; --if (rst_n = '0') then + end process; + +end rtl; + + + + + +-----------dummy prg rom +library ieee; +use ieee.std_logic_1164.all; +entity prg_rom is + port ( + pi_base_clk : in std_logic; + pi_ce_n : in std_logic; + pi_oe_n : in std_logic; + pi_addr : in std_logic_vector (14 downto 0); + po_data : out std_logic_vector (7 downto 0) + ); +end prg_rom; +architecture rtl of prg_rom is +begin + p_read : process (pi_base_clk) + begin + if (rising_edge(pi_base_clk)) then + if (pi_ce_n = '0') then + ---dummy data. + po_data <= "00110011"; + else + po_data <= (others => 'Z'); + end if; + end if; + end process; + +end rtl; + + + +-----------dummy apu +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.conv_std_logic_vector; + +entity apu is + port ( + pi_rst_n : in std_logic; + pi_base_clk : in std_logic; + pi_cpu_en : in std_logic_vector (7 downto 0); + pi_rnd_en : in std_logic_vector (3 downto 0); + pi_ce_n : in std_logic; + + --cpu i/f + pio_oe_n : inout std_logic; + pio_we_n : inout std_logic; + pio_cpu_addr : inout std_logic_vector (15 downto 0); + pio_cpu_d : inout std_logic_vector (7 downto 0); + po_rdy : out std_logic; + + --sprite i/f + po_spr_ce_n : out std_logic; + po_spr_rd_n : out std_logic; + po_spr_wr_n : out std_logic; + po_spr_addr : out std_logic_vector (7 downto 0); + po_spr_data : out std_logic_vector (7 downto 0) + ); +end apu; + +architecture rtl of apu is +begin + pio_oe_n <= 'Z'; + pio_we_n <= 'Z'; + pio_cpu_addr <= (others => 'Z'); + pio_cpu_d <= (others => 'Z'); + po_rdy <= '1'; + po_spr_ce_n <= 'Z'; + po_spr_rd_n <= 'Z'; + po_spr_wr_n <= 'Z'; + po_spr_addr <= (others => 'Z'); + po_spr_data <= (others => 'Z'); +end rtl; + diff --git a/de0_cv_nes/sample1-chr.hex b/de0_cv_nes/sample1-chr.hex index d5ac352..6bd9a70 100644 --- a/de0_cv_nes/sample1-chr.hex +++ b/de0_cv_nes/sample1-chr.hex @@ -1,513 +1,513 @@ -:1000000000000000000000000000000000000000F0 -:1000100000000000000000000000000000000000E0 -:1000200000000000000000000000000000000000D0 -:1000300000000000000000000000000000000000C0 -:1000400000000000000000000000000000000000B0 -:1000500000000000000000000000000000000000A0 -:100060000000000000000000000000000000000090 -:100070000000000000000000000000000000000080 -:100080000000000000000000000000000000000070 -:100090000000000000000000000000000000000060 -:1000A0000000000000000000000000000000000050 -:1000B0000000000000000000000000000000000040 -:1000C0000000000000000000000000000000000030 -:1000D0000000000000000000000000000000000020 -:1000E0000000000000000000000000000000000010 -:1000F0000000000000000000000000000000000000 -:1001000000000000000000000000000000000000EF -:1001100000000000000000000000000000000000DF -:1001200000000000000000000000000000000000CF -:1001300000000000000000000000000000000000BF -:1001400000000000000000000000000000000000AF -:10015000000000000000000000000000000000009F -:10016000000000000000000000000000000000008F -:10017000000000000000000000000000000000007F -:10018000000000000000000000000000000000006F -:10019000000000000000000000000000000000005F -:1001A000000000000000000000000000000000004F -:1001B000000000000000000000000000000000003F -:1001C000000000000000000000000000000000002F -:1001D000000000000000000000000000000000001F -:1001E000000000000000000000000000000000000F -:1001F00000000000000000000000000000000000FF -:1002000000000000000000000000000000000000EE -:100210001C3E3E3E1C1C1C1C183C3C1818001800C0 -:10022000367E7E7E00000000246C6C000000000022 -:100230007E7EFFFFFFFF7E7E6C6CFE6CFE6C6C00B2 -:100240007EFFFFFE7FFFFE7E7CD6D07C16D67C0034 -:10025000F3F7FEFC3F7FEFCFE2A4E8102E4A8E00BA -:10026000387C7C787BFFFF7F30485020528C7A00AE -:100270001C1C1C1C180000001818081000000000AE -:100280000E1E3C38383C1E0E0C18303030180C0056 -:10029000383C1E0E0E1E3C3830180C0C0C1830006A -:1002A0001C7F7F3E3E7F7F1C185A3C183C5A18002A -:1002B000001C1C7F7F1C1C000018187E18180000F2 -:1002C00000000070707070600000006060204000EE -:1002D0000000007F7F0000000000007E00000000A2 -:1002E00000000000007070700000000000606000FE -:1002F00003070E1C3870E0C0020408102040800084 -:100300007EFFF7FFFFEFFF7E7CC6E6D6CEC67C0001 -:100310001C3C3C1C1C1C3E3E1838181818183C008D -:100320007EFFE7EF1E3CFFFF7CC6C60C1830FE00C8 -:100330007EFFE71F1FC7FF7E7CC6061C06C67C002B -:100340001E3E7EFEFFFF0E0E1C3C6CCCFE0C0C0015 -:10035000FFFFFEFFE7C7FF7EFEC0FCC606C67C00AF -:100360007EFFE7FEFFE7FF7E7CC6C0FCC6C67C00C2 -:10037000FFFFEF0E1E1C1C1CFEC60C0C18181800EC -:100380007EFFE7FFFFE7FF7E7CC6C67CC6C67C001B -:100390007EFFE7FF7FC7FF7E7CC6C67E06C67C0069 -:1003A000000000000000000000000000000000004D -:1003B000000000000000000000000000000000003D -:1003C000000000000000000000000000000000002D -:1003D000000000000000000000000000000000001D -:1003E000000000000000000000000000000000000D -:1003F00000000000000000000000000000000000FD -:1004000000000000000000000000000000000000EC -:100410003C3C7E7EFFFFFFE738386C6CC6FEC600B2 -:10042000FEFFE7FFFFE7FFFEFCC6C6FCC6C6FC00FA -:100430007EFFE7E0E0E7FF7E7CC6C0C0C0C67C0070 -:10044000FEFFE7E7E7E7FFFEFCC6C6C6C6C6FC0040 -:10045000FFFFE0FCFCE0FFFFFEC0C0F8C0C0FE00F4 -:10046000FFFFE0FCFCE0E0E0FEC0C0F8C0C0C00060 -:100470007EFFE7EFEFEFFF7F7CC6C0CEC6CE7600F3 -:10048000E7E7E7FFFFE7E7E7C6C6C6FEC6C6C60062 -:100490003E3E1C1C1C1C3E3E3C18181818183C0004 -:1004A0001F1F0E0ECECEFE7C1E0C0C0CCCCC78008A -:1004B000E7EFFEFCFCFEEFE7C6CCD8F0F8CCC600B8 -:1004C000F8F870707077FFFFF06060606066FE00A3 -:1004D000E7E7FFFFFFE7E7E7C6C6EED6C6C6C600FA -:1004E000E7E7F7FFFFEFE7E7C6C6E6D6CEC6C600EA -:1004F0007EFFE7E7E7E7FF7E7CC6C6C6C6C67C0090 -:10050000FEFFE7FFFEE0E0E0FCC6C6FCC0C0C000A6 -:100510007EFFE7E7FFFFFF7F7CC6C6C6DEEC760006 -:10052000FEFFE7FFFFE7E7E7FCC6C6FCC6C6C6005E -:100530007EFFE7FE7FC7FF7E7CC6C07C06C67C00D0 -:100540007F7F7F1C1C1C3E3E7E5A181818183C00EA -:10055000E7E7E7E7E7E7FF7EC6C6C6C6C6C67C0094 -:10056000E7E7E7FF7E7E3C3CC6C6C66C6C383800C9 -:10057000E7E7FFFFFFFF7E7EC6C6D6D6FE7C6C0097 -:10058000E7FF7E3C3C7EFFE7C66C3810386CC60047 -:100590007777777F3E1C3E3E6666663C18183C00C7 -:1005A000FFFFEF1E3C7FFFFFFEC60C183066FE000B -:1005B000001C1C1C001C1C1C001818000018180033 -:1005C00003070E1C3870E0C00204081020408000B1 -:1005D0001C1C1C1C1C1C1C1C1818181800181800AB -:1005E0003F7F777F1E1C1C1C3C66660C18001800A1 -:1005F00000000000000000000000000000000000FB -:1006000038381C00000000003030180000000000E6 -:10061000003E7F7F7F777F3F003C663E66663E0000 -:1006200070707E7F77777F7E60607C6666667C0018 -:10063000003E7F7770777F3E003C666060663C00DE -:1006400007073F7F77777F3F06063E6666663E0078 -:10065000003E7F7F7F777F3E003C667E60663C0089 -:100660000E1E1C3E3E1C3C380C18183C181830005E -:10067000003F7F777F7F7F3E003E66663E663C00A0 -:1006800070707E7F7777777760607C6666666600DD -:100690001C1C1C1C1C1C1C1C1818001818181800EA -:1006A0001C1C1C1C1C7C7C381818001818583000A6 -:1006B00070777F7E7C7E7F7760666C78786C660072 -:1006C0001E1E1C1C1C1C3E3E1C18181818183C0032 -:1006D00000FEFFFFFFFFFFFF00FCD6D6D6D6D600F8 -:1006E000007E777777777777007C66666666660048 -:1006F000003E7F7777777F3E003C666666663C000B -:10070000007E7F77777F7E70007C6666667C600007 -:10071000003F7F77777F3F07003E6666663E0600B4 -:10072000007E7E7E78707070006C7C70606060000F -:10073000003E7F7F3E7F7F3E003C66300C663C0083 -:100740001C1C3E3E1C1C1E1E18183C1818181C00B1 -:100750000077777777777F3F0066666666663E004C -:10076000007777777F3E3E1C006666663C3C18004B -:1007700000E7FFFFFFFF7E6600C6D6D6FE6C440092 -:1007800000777F3E1C3E7F7700663C18183C660071 -:10079000007777777F3E3C38006666663C1830000D -:1007A000007F7F7F1E3F7F7F007E660C18367E00B5 -:1007B0000E1E1C3C3C1C1E0E0C18183018180C0089 -:1007C0001C1C1C1C1C1C1C1C1818181818181800A1 -:1007D000383C1C1E1E1C3C383018180C18183000F1 -:1007E00000000073FBDFCE0000000062928C00006E -:1007F00000000000000000000000000000000000F9 -:1008000030FEFF7FFFFFFF7E20FC227CA6AA740043 -:1008100000C6C7C3C3F3F0700084828282A0600068 -:100820003E3E7E7F03073E3C3C007C0202043800D3 -:100830003E3E7F7F1C3C7F673C007E0810284600C0 -:1008400036FFFF7FFFF3F77624FA227CA2A2640032 -:100850003C3EFFFF6FEFFC382824F24A4AA83000E4 -:10086000187F7F7F7F667E3E107E087E04403C00BE -:10087000060E1C38381C0E06040810201008040050 -:1008800006C6FFFFC6E66E0C0484BE8484440800DE -:10089000007E7F0707607F3F007C020600403E002D -:1008A000187F7F3E7F637E3E107E083C42403C00C6 -:1008B0006060606060667E3C40404040404438007C -:1008C0000CFFFF3C3C1C1C1808FE182818081000E0 -:1008D0006666FEFF6E6E7E3E4444FE444C403C0025 -:1008E0003E3E1CFFFF303E1E3C0810FE20201C0038 -:1008F00060FCFC6FEFD0DFCF40F8404E80908E0060 -:10090000187F7F3E7F633F3E107E203C42023C00CA -:10091000003EFFE303031F1E003CC20202021C0054 -:10092000FFFF1C3830381E0EFE08102020100C006F -:10093000C7CFFC7870607F3F8688502040403E00E3 -:1009400036FFFBEBF87E7E3824F24288384C3000CC -:1009500060FFDFC0C0F0FF7F409E808080A05E000F -:10096000337FFFFFFFFFFF7F227CA6AAB2A65600BF -:1009700060FEFF7B77EFEF6740EC526246CA4600AD -:100980003E7FFBDBFBF3F7663C529292A2A244004F -:1009900006FFFFC6DEFEFF7F04BE84849CA45A00CF -:1009A0003878FEFF67667E3C3060AC4644443800D1 -:1009B000383C0C76FFEFFFDB300800548AAA920027 -:1009C000003078FCCE0703000020508804020000AD -:1009D0003FFFFFFFDEFFFF7C3E84BE849CA65800E5 -:1009E000107E7E7E7E7C7E7E107C107C1078740073 -:1009F000F0F6367FFFF6FE6CE024247EA4A44800C7 -:100A00003036FEFBF3F3FF7E2024F262A0A25C00EE -:100A1000337FFFFFFFFBFF7E227CA6AAB2A2540019 -:100A2000187E7E7E7E333F1E107C207C20221C00A0 -:100A30006C6E7EFFE36F3E3048447CC2422C200047 -:100A400078FEFFEFCFFFFF7E50BCCA8A8AAA5C10F7 -:100A50000C0F0F0C3C7E7F3B080E0808384C320010 -:100A6000181C3C7E7F737F1E1008205C62421C00B5 -:100A70000C0E666676360E0C080444442404080006 -:100A80003E3E1C3E7F7F1F1E3C08103C421A1C004D -:100A900066FEFE7E76E6E76344EC546444C442009E -:100AA0003E3E1C3E7F631F1E3C08103C42021C0061 -:100AB00060F0FE7F73E3E76640E05C6242C24400A0 -:100AC000187E7E7E7E7C7E3E107C305C28403C0022 -:100AD00030307060F0FBFFCE20204040A0D28C0070 -:100AE0000030FEFE7EFEFE7C0020FC2478B4680010 -:100AF0000000CCCEC6F6F6700000888484A46000A6 -:100B0000003C3C7C7E063E3C003800780404380003 -:100B1000003C3C7E7E3C7E6E0038007C10284C0001 -:100B2000003CFEFE7EF6FE7C0028F43864A46800DB -:100B300000003CFEE6063E3C000038C404043800D9 -:100B400000787CFCFE6E7C30005048F84448200061 -:100B50000078FCFEFEFEFE7C0050B8D494B4580031 -:100B600000181E1E187E7E3800101C10107C3000ED -:100B700000000000F0F8787800000000A05050005D -:100B800000000000F0F0F0F000000000E0A0E00045 -:100B90000000000000000000000000000000000055 -:100BA0000000000000000000000000000000000045 -:100BB0000000000000000000000000000000000035 -:100BC0000000000000000000000000000000000025 -:100BD0000000000000000000000000000000000015 -:100BE0000000000000000000000000000000000005 -:100BF00000000000000000000000000000000000F5 -:100C0000FFFF1F1E1C183830FE02141810102000A1 -:100C100006060E1C7C7C0C0C0404081868080800EE -:100C200018FFFFC3C3073E3C10FE82820204380057 -:100C3000007E7E181818FFFF007C10101010FE00B8 -:100C400006FFFF1E3EF6EE0E04FE0C1424C40C003C -:100C5000187F7F1B1B3F7F66107E1212122A4400F2 -:100C6000187E7E187F7F0C0C107C10107E08080008 -:100C70003F3F337363071E1C3E22224202041800CA -:100C8000607F7FE6C60E3C38407E44840408300016 -:100C9000007F7F0303037F7F007E020202027E004B -:100CA0006666FFFF666E3C384444FE4444083000EC -:100CB000F3F3F3F3070EFCF8E202E2020408F0009B -:100CC0007F7F070E1E3F73637E02040814224200DA -:100CD0006060FFFF67667E3E4040FE4244403C004D -:100CE000C3C3C3E3670E3C388282824204083000EB -:100CF0003F3F3B7F6F071E1C3E22324E020418000E -:100D00000E3E3CFFFF3C3C180C3008FE082810004B -:100D1000007B7B7B7B073E3C005252520204380032 -:100D20007E7EFFFF0C0C3C387C00FE08080830007B -:100D3000606060787E6E6060404040704C40400073 -:100D40000C0CFFFF0C1C78700808FE0808106000EF -:100D5000007E7E0000FFFF00007C000000FE00001F -:100D60007E7E063E3C3C7E667C04042810284400BF -:100D70003C7E7E1E3EFFFB18387C04183CD21000DF -:100D800003030303070E7C780202020204087000CA -:100D90000C6E66676363E3C30844444242428200C8 -:100DA000C3CFFEF8C0C3FF7F828CF08080827E00BC -:100DB000FFFF0303070E7C78FE02020204087000A6 -:100DC000003078FCCE0703000020508804020000A9 -:100DD00018FFFF7EFFDBFB3810FE105492923000AC -:100DE000FFFF03677E3C1C0CFE0202442810080033 -:100DF000787E7E7E0E787E0E700C700C00700C007B -:100E000030303C7E66FFFFF320202844449AE20005 -:100E10000303373E1E1E7F7302022414081462006F -:100E20007E7E30FFFF303E1E7C2020FE20201C00F6 -:100E30006060FFFF677630304040FE424420200073 -:100E4000007E7E060606FFFF007C04040404FE000C -:100E50007F7F033F3F037F7F7E02023E02027E00D0 -:100E60007E7EFFFF03073E3C7C00FE02020438004A -:100E700006666666660E1C180444444404081000A6 -:100E80001878787B7BFFDE1C10505052529418006B -:100E900060606363676E7C78404042424448700003 -:100EA000FFFFC3C3C3C3FFFFFE8282828282FE00B4 -:100EB000FFFFC3C303073E3CFE82820202043800E8 -:100EC000FFFF037F7F073E3CFE02027E02043800E4 -:100ED000F3FB1B03070EFCF8E21202020408F00009 -:100EE00000FEFE3E3C38706000FC042830204000CC -:100EF000000C0C1C387878180008081030501000CE -:100F00000030FEFEC60E3C380020FC840408300091 -:100F100000007C7C3030FEFE000078202020FC00A9 -:100F2000000CFEFE3CFCFC1C0008FC1828C8180045 -:100F300000007E7E7E0E3C380000545404083000D1 -:100F4000006060FEFE7E3C30004040FC44282000F3 -:100F500000007C7C0C0CFEFE000078080808FC00F9 -:100F600000007E7E3E3E7E7E00007C043C047C00D1 -:100F70000000000000000000000000000000000071 -:100F80000000000000000000000000000000000061 -:100F90000000000000000000000000000000000051 -:100FA0000000000000000000000000000000000041 -:100FB0000000000000000000000000000000000031 +:10000000030F1F1F1C242666000000001F3F3F7FB8 +:10001000E0C080FC80C0002000206000F0FCFEFEFC +:10002000607018070F1F3F7F7F7F1F07001E3F7FF5 +:10003000FC7C0000E0F0F8F8FCFCF8C0C2672F3749 +:100040007F7FFFFF07070F0F7F7EFCF0F8F8F0704F +:10005000FDFEB4F8F8F9FBFF37365C000001031F22 +:100060001F3FFFFFFC7070380824E3F0F870703811 +:10007000FFFFFF1F000000001F1F1F1F00000000E8 +:10008000000001070F0F0E120000000000000F1FFC +:100090000000F0E0C0FE4060000000103000F8FEFC +:1000A00013333018040F1F1F1F3F3F1F0708171778 +:1000B00000107E3E0000C0E0FFFFFEFEFCE040A01E +:1000C0003F3F3F1F1F1F1F1F372723030100000053 +:1000D000F0F0F0F8F8F8F8F8CCFFFFFFFF70000838 +:1000E000FFFFFFFEF0C08000F0F0F0F0F0C08000F5 +:1000F000FCFCF87878787E7E1060800078787E7ED0 +:1001000000030F1F1F1C242600000000001F3F3F9C +:1001100000E0C080FC80C0000000206000F0FCFE19 +:10012000666030180F1F3F3F7F7F3F1F00162F2F45 +:1001300020FC7C0000E0E0F0FEFCFCF8C060203019 +:100140003F3F3F3F3F3F3F1F2F2F2F0F0703000031 +:10015000F09000080C1CFCF810F0F0F0F0E0C0E0AB +:100160000F0F0707070F0F0301030104070F0F030A +:10017000F8F0E0F0B080E0E0F8F0E070B080E0E0AF +:10018000033F7F190909285C0030707FFFFFF7F3F8 +:10019000F8E0E0FC2630801000181000F8F8FEFFB0 +:1001A0003E1E3F383030003AE70F0F1F1F1F0F076A +:1001B000781E80FE7E7E7F7FFFFEFCC68EEEFFFFF8 +:1001C0003C3F1F0F073F21200300000E073F3F3F2A +:1001D000FFFFFFFEFEFEFC70FF7F3F0EC0C0E0E0B1 +:1001E0000F9FCFFF7F3F1E0E0080C8FE7F3F1E0E79 +:1001F00020C0808000000000E0000000000000003F +:100200000000030F1F1F1C240000000000001F3F00 +:100210000004E6E0FFFF8F830E1F1F1F1F03FFFF79 +:1002200026266078180F7FFF3F3F7F7F1F007EFFED +:100230000121FE7A06FEFCFCFFFFFEFEFEDE5C6C8A +:10024000FFCF8707070F1F1FFFFFFEFCF8B06000FE +:10025000F8F8F0B8F8F9FBFF283018400001030F58 +:100260001FFFFFFFFFFEC08010ECE3E0E0E0C08076 +:10027000FFFFFF3F000000000F0F0F0F0000000006 +:1002800013333018040F1F1F1F3F3F1F0709131799 +:1002900000107E30E0F0F0E0FFFFFEFFFEFCF8E033 +:1002A0001F1F0F0F0F1F1F1F171703000000000055 +:1002B000F0F0F8F8B8F8F8F8D0901808400000000E +:1002C0003FFFFFFFF6C6840030F0F0F1F6C6840071 +:1002D000F0E08000000000000000000000000000CE +:1002E0001F1F3F3F1F0F0F1F1F1F3F3E7C78F0E077 +:1002F000F0F0F8F8B8F8F8F0B090180840000000F6 +:10030000E0F0F0F0F0F0F8F0C0E0FCFEFF7F03005A +:100310001F1F1F3F3E3C3818000010383E3C381865 +:10032000000307070A0B0C00000000070F0F0F0364 +:1003300000E0FC2020103C00000000F0FCFEFCF877 +:100340000707071F1F3E2101070F1B18103021014F +:10035000E0E0E0F0F0E0C0E0A8FCF8000000C0E061 +:10036000070F0E141618003F00000F1F1F1F073C39 +:10037000C0F84040207800C00000E0F8FCF8F0C071 +:100380003F0E0F1F3F7C7038FCEDC00000607038DE +:10039000F0F8E4FCFC7C00007E1E040C0C0C000059 +:1003A000070F0E141618000F00000F1F1F1F070D58 +:1003B0001F1F1F1C0C0707071E1C1E0F0700070727 +:1003C000E060F070E0E0F0806090008000E0F0809D +:1003D000071F3F1213081F3100103F7F7F3F030F9D +:1003E000C0F040003018C0F80000E0F8FCF8B03869 +:1003F00031391F1F0F5F7E3C1F07000E0F537C3CDF +:10040000F8F8F0E0E0C00000F8F8F000008000002C +:1004100000E0FC2727113E04070703F7FFFFFEFC5F +:100420003F7F3F0F1F3F7F4F3E7FFFE250387040BE +:10043000F8F9F9B7FFFFE000E871014B0303000092 +:1004400007070F3F3F3F26040503013030302604E5 +:10045000F0F0F0E0C0000000FEFCE0000000000052 +:1004600007070F1F3F0F1C1805030110300C1C1845 +:10047000E0E0E0E0C0800000C0E0F0781808000094 +:10048000070F1F0F3F0F1C18070F3E7C300C1C1866 +:10049000E0E0E040C080000060606080000000009C +:1004A0007FFFFFFB0F0F0F1F73F3F0F4F0F070608E +:1004B0003F7E7C7C3C3CFCFC000000003C3CFCFCA7 +:1004C000607018080F1F3F7F7F7F1F070B1B3B7B50 +:1004D000FC7C0020F0F8FCFEFCFCF8E0D0D8DCDE70 +:1004E0000B0F1F1E3C3C3C7CC4E0E040003C3C7CCD +:1004F0001F3F0D070F0E1C3C1D3C3A3830001C3CC2 +:100500000000000000000000225555555555772287 +:1005100000071FFF071F0F0600000000000000007B +:100520003FFFFFFFFFFFFB760000CF077F000000CB +:1005300020F8FFC3FDFEF04000003CFCFEE00000A0 +:1005400040E0404041414F4740E0403F3E3E303870 +:10055000000000000000E0C0000000F8F8F81838C3 +:1005600043464440404040403C393B3F000000008F +:1005700080C04000000000007838B8F8000000009B +:100580003130387C7FFFFFFB3F3F0F7777F7F7F77E +:10059000107E3E001EFEFFFFFFFEFEFEFAFAF3E7AE +:1005A000FFFFE3C387483CFCF0F8FC7C78383CFC58 +:1005B00000FFC38383FFFFFFFF00C38181C3FF00F0 +:1005C0001F1F0F07010000000000000000000000D6 +:1005D000F0FBFFFFFE3E0C04000B1F1F1E3E0C0431 +:1005E0001F1F0F0F070000000000000000000000A8 +:1005F000FBFFFFFFFF000000030F0F0F0F000000C5 +:1006000000183C7E6EDFDFDF00183C7E76FBFBFBD4 +:100610000018183C3C3C3C1C0010102020202020DE +:100620000008080808080800000808080808080862 +:10063000000808040404040400101038383838385E +:100640003C7E77FB9F5F8E2000183C0E0E0400005E +:100650005C2E8F3F7B777E3C000004061E3C18001A +:10066000134F3FBF3F7AF8F80000010A170F2F1F02 +:100670000008050F2F1D1C3C0000000005070F0798 +:1006800000000000020B070F000000000000010343 +:1006900000000000000804040060F0F87C3E7E7F4B +:1006A00002020205717F7F7F3F5F7F3E0E0A51206D +:1006B00000000000000000040000000000000E1F09 +:1006C00002020001133F7F7F3F7F7FFEECCA512073 +:1006D0000040607073270F1F004063777C38F8E498 +:1006E0000000000003070F1F000003070C18F8E4C8 +:1006F0007F7F3F3F1F1F0F07034428100804030498 +:1007000003070F1F3F7777F503070F1F277B78FB42 +:10071000C0E0F0F8FCEEEEAFC0E0F0F8E4DE1EDF83 +:10072000F1FF780000181C0EFFFF7F0F0F0703007A +:100730008FFF1E000C3E7E7CFFFFFEF0F0C08000AD +:100740000000000000000000000018242418000031 +:10075000000241416133063C3C7EFFFFFFFF7E3CCF +:1007600003070F1F3F7F7FFF03070F1F3F6341C139 +:10077000C0E0F0F8FCFEFEFFC08000008CFEFEF33F +:10078000FFFFFF7800000000C1E3FF470F0F0F07D6 +:10079000FFFFFF1E00202040F1F9FFE2F0F0F0E043 +:1007A000161F3F7F3D1D3F1F161F0000050D3F1FF9 +:1007B0008080C0E0F0F0F0F88080000000A0A0E0B1 +:1007C0003CFAB172F2DBDF5F00044E8C0C7FFFFF5E +:1007D000000000010101061E0000000000000101F0 +:1007E0000000000000000000FF7F3F1F0F07030113 +:1007F000007CD692BAEEFE38FF83296D451101C701 +:1008000000153F625FFF9F7D0808021F2202020061 +:100810000000000000000000080808080808080898 +:100820002F1E2F2F2F150D0E101E10501008000018 +:100830000000000000000000000000FE00000000BA +:100840001C3E7FFFFFFE7C381C2A77EEDDAA742851 +:1008500000FFFFFFFFFFFFFFFFFEFE00EFEFEF00D7 +:10086000FFFFFFFFFFFFFFFFFEFEFE00EFEFEF00C9 +:100870007FFFFFFFFFFFFFFF007F5F7F7F7F7F7FA7 +:10088000684EE0E0E0F0F8FCB89E80C0E0F0F87C54 +:100890003F5C393BBBF9FCFE0023574F5727C32170 +:1008A000C0F0F0F0F0E0C00000307070F0E0C00088 +:1008B000FEFC610FFFFEF0E0130F1EF0FCF8F0E00D +:1008C0006E40E0E0E0E0E0C0BE9080C0C08000008C +:1008D00001010303077F7F3F01010303077F7D3D84 +:1008E00006073F3C197B7F3F060430230664600007 +:1008F0003F7F7F1F3F3F07060060600020300406F7 +:1009000003070F0F0F0F0703000101000000000095 +:10091000F8F8F8A0E1FFFFFFFEFFFF40010303032B +:100920000F0F0F1F1F1F0F07010100000000000025 +:10093000E0F8F8F8FFFEF0C0E0FEFF7F03020000E1 +:10094000010F0F1F3933377F010D0800362C086067 +:100950007F3F3F3F1F0F0F016000203000080D0157 +:1009600000000303476777770101034367777B78CC +:10097000000000008898F8F000008084CCDCBC3CCB +:100980007E7FFF1F07301C0C330707E3383F1C0C2A +:100990007E38F6EDDF38706098C7C89230F8706026 +:1009A0000000000303476777000101034367777B7B +:1009B00000000000008898F80000008084CCDCBCB7 +:1009C000777E7FFF1F0770F078330707E3387FF0EB +:1009D000F07E38F6EDDF383C3C98C7C89230F83CE2 +:1009E00003070A1A1C1E0B0800107F7F7F1F0F0FC2 +:1009F0001C3F3F3D3F1F00000333393A38180000C9 +:100A00000000044C4E4E466F10383C7476767E7D66 +:100A1000001F3F3F4F5F7F7F0000110A342A5120A3 +:100A20007F67A3B0D8DEDCC87F676370383E7CB8D0 +:100A30007F7F7F1F47707039510A04EA797F7039D0 +:100A4000E8E8E0C01070E0C058381030F0F0E0C0C6 +:100A5000000000206666666200081C3C7A7A7A7E96 +:100A600000001F3F7F4F5F7F000000110A342A51B2 +:100A7000777F3FB7B3DBDAD87F7D3F37333B3A78B8 +:100A80007F7F7F7F1F0770F020510A04EA397FF0D3 +:100A9000CCE8E8E0C0187C3EBC58381030F8FC3E8A +:100AA000030F1F3F3B3F7F7F000000060E0C00003E +:100AB00080F0F8FCFEFEFFFE0000000000000F18B2 +:100AC0007F7F7F7FFF0F030000000000F83E3B1890 +:100AD000FEFBFFFFF6E0C000101410103878F8306D +:100AE00000030F1F3F3B3F7F00000000060E0C007D +:100AF00000C0F0F8FCFEFEFF000000000000000F48 +:100B00007F7F7F7F7FFF0F030000000000F87EF3F0 +:100B1000FEFEFBFFFFF6E0C01810141010387CDE5C +:100B20000001010101000008000D1E1E1E1F0F071D +:100B300078F0F8E4C0CACAC078F0001A3F35353FF3 +:100B40000F1F9FFFFF7F7420000080E0E070732183 +:100B5000E4FFFEFC9C1E00001A070C1878FEFCF057 +:100B6000000103030703010000010200387C7E3FFF +:100B7000005F7F7F3F3F14003F40606020301301E3 +:100B8000C0E0F030383C3CFCC0E030D0D0D0D000E9 +:100B9000070F1F222025251F070F021D1F1A1A02EB +:100BA000FEFE7E3A02014141387CFCFCFCFEBEBEEA +:100BB0001F3F7E5C408082821C3E3F3F3F7F7D7DA9 +:100BC0008280A0444340211E7D7F5F3B3C3F1E004E +:100BD0001C3F3E3C408082821C3E3F1F3F7F7D7D0C +:100BE00000008080929DC7EF0000006062653F1F9B +:100BF0000023333F3F7F7F7F703C3C18000002079B +:100C0000FEF8A00000008080CF7A5A100000C0805B +:100C10007E7F7D3F1E8F8F19858486C6E77373E1C3 +:100C2000E00E73F3F9F9F870804E77F3FBF9FA7878 +:100C30000E66E2F6FFFF1F9811397D390000E0E7EC +:100C4000000000040F0F1F070000070716100038F0 +:100C5000F3E7EEECCDCFCFDFCF1F171033303020CE +:100C6000273F3F783C1F1F73383040C70766E06C52 +:100C70009F3E7CFCF8F8C04060C080049EFFF0F806 +:100C80007F7E7801071F3C7C240107FEFF7F3F7FAA +:100C9000FCF8A0FEFCF08000CF7A0AFEFC00000009 +:100CA0007E7F7F3F1F8F8F18858683C3E17070E042 +:100CB0009F3E7CF8F83C18F860C0800098FCFEFF6E +:100CC0007F7F78010713F103240007FEFF7FFF03F6 +:100CD00000001C1D1BC3E3E1030F2362643C1C1EC8 +:100CE000E0CD1D4FEEFF3F3F1F3D6D4FEEF3200364 +:100CF0003F3F000070B8FCFC07071F3F0F47030091 +:100D0000070F1F3F3E7C7878000003070F0F1F1F5F +:100D10003F5C393BBFFFFEFE0023574F572FDF21BB +:100D2000C0C0808080800000000000008080000043 +:100D3000FEFC610F7F3F1F1E230F1EF01C3F1F1E76 +:100D4000F078E4C8CCBEBE3E0080183034FEFEFE13 +:100D5000000100070707071F000001040606070738 +:100D600000000F3F3F0F00000F3F7FF8F87F3F0F5D +:100D7000787C7E7F3F3F1B091F1F1F0B0101000076 +:100D80000C000000077F7C00031F3F3F780003FF3B +:100D900001E171793D3D1F030000000000000000EB +:100DA0003F3F1F1B36307F3F23271F070F1F7F3F0B +:100DB000F8F8F8B818D8D8B8E0808040E0E0E0C093 +:100DC000010204040808101003070F1F3F7FFF1FD4 +:100DD000000F130D0D130C201F100C12122C3F3F8F +:100DE000002400240004000037363636161612029E +:100DF0000F41008800440000107EFFFFF6763A1A8B +:100E0000387CFEFE3B0303030000380400000000B2 +:100E100003337B7FFFFB030300000038400000002A +:100E2000DCC0E0E0E0E0E0C0FCA08080000000006A +:100E30003F5F3F3FBBF8FEFE0727574F5727C121B3 +:100E40001F0F0F1F1F1E38301D0F0F1F1F1E3830A2 +:100E50000020606070F0F8F8000038104C1886240C +:100E6000F8FCFC7E7E3E1F0700420A40100208028A +:100E700000C070B8F4F2F57B00008040080C0A84D2 +:100E800000DF10FFDFFFFFF90000CF202020262E1B +:100E90001F1F3EFCF8F0C000E0E0C00000000000B2 +:100EA000F8FCFEFFFFDFDF002F23212020000000E1 +:100EB000C1F1797D3D3F1F03C1B1596D353B1F0322 +:100EC00002060E0E1E1E3E3E000200080200280012 +:100ED0003E3E3E3E1E1E0E020410021004000A009A +:100EE000C1F1797D3D3F1F03C1B1596D353B1F03F2 +:100EF0007C0000FFC37F1F03000F1FFFFC631F0365 +:100F0000FFFF7C00007CFFFF0000FEC6C6FE000065 +:100F1000FFFF00040C183000000006060C1870607B +:100F2000FFFF000404040808000006060404080883 +:100F30000810100000101008081030303030100871 +:100F40007F3F3F3E1F0F0300000001030100000030 +:100F5000030FFF7F7F7F7F7F030EF80000000000FC +:100F6000000000000000000022652525252577727D +:100F70000000000000000000629515254585F7F28D +:100F80000000000000000000A2A5A5A5F5F527229D +:100F90000000000000000000F28585E51515F7E26D +:100FA000000000000000000062955565B59597624D +:100FB00000000000000000002050505050507020F1 :100FC0000000000000000000000000000000000021 -:100FD0000000000000000000000000000000000011 -:100FE0000000000000000000000000000000000001 -:100FF00000000000000000000000000000000000F1 -:1010000000000000000000000000000000000000E0 -:1010100000000000000000000000000000000000D0 -:1010200000000000000000000000000000000000C0 -:1010300000000000000000000000000000000000B0 -:1010400000000000000000000000000000000000A0 -:101050000000000000000000000000000000000090 -:101060000000000000000000000000000000000080 -:101070000000000000000000000000000000000070 -:101080000000000000000000000000000000000060 -:101090000000000000000000000000000000000050 -:1010A0000000000000000000000000000000000040 -:1010B0000000000000000000000000000000000030 -:1010C0000000000000000000000000000000000020 -:1010D0000000000000000000000000000000000010 -:1010E0000000000000000000000000000000000000 -:1010F00000000000000000000000000000000000F0 -:1011000000000000000000000000000000000000DF -:1011100000000000000000000000000000000000CF -:1011200000000000000000000000000000000000BF -:1011300000000000000000000000000000000000AF -:10114000000000000000000000000000000000009F -:10115000000000000000000000000000000000008F -:10116000000000000000000000000000000000007F -:10117000000000000000000000000000000000006F -:10118000000000000000000000000000000000005F -:10119000000000000000000000000000000000004F -:1011A000000000000000000000000000000000003F -:1011B000000000000000000000000000000000002F -:1011C000000000000000000000000000000000001F -:1011D000000000000000000000000000000000000F -:1011E00000000000000000000000000000000000FF -:1011F00000000000000000000000000000000000EF -:1012000000000000000000000000000000000000DE -:1012100000000000000000000000000000000000CE -:1012200000000000000000000000000000000000BE -:1012300000000000000000000000000000000000AE +:100FD000000000000000000066E666666667F30039 +:100FE00000000000000000005E5959595ED89800CA +:100FF00000000000007C3800000000000004080031 +:10100000384CC6C6C664380000000000000000006E +:101010001838181818187E000000000000000000A2 +:101020007CC60E3C78E0FE000000000000000000DE +:101030007E0C183C06C67C0000000000000000008A +:101040001C3C6CCCFE0C0C000000000000000000FA +:10105000FCC0FC0606C67C0000000000000000008A +:101060003C60C0FCC6C67C00000000000000000020 +:10107000FEC60C18303030000000000000000000F8 +:101080007CC6C67CC6C67C000000000000000000D4 +:101090007CC6C67E060C7800000000000000000040 +:1010A000386CC6C6FEC6C600000000000000000086 +:1010B000FCC6C6FCC6C6FC00000000000000000024 +:1010C0003C66C0C0C0663C0000000000000000009C +:1010D000F8CCC6C6C6CCF800000000000000000036 +:1010E000FEC0C0FCC0C0FE00000000000000000008 +:1010F000FEC0C0FCC0C0C000000000000000000036 +:101100003E60C0CEC6663E00000000000000000049 +:10111000C6C6C6FEC6C6C60000000000000000002D +:101120007E18181818187E0000000000000000004B +:101130001E060606C6C67C00000000000000000077 +:10114000C6CCD8F0F8DCCE000000000000000000A3 +:101150006060606060607E000000000000000000D1 +:10116000C6EEFEFED6C6C60000000000000000006D +:10117000C6E6F6FEDECEC60000000000000000005D +:101180007CC6C6C6C6C67C00000000000000000089 +:10119000FCC6C6C6FCC0C000000000000000000085 +:1011A0007CC6C6C6DECC7A0000000000000000004D +:1011B000FCC6C6CEF8DCCE00000000000000000037 +:1011C00078CCC07C06C67C00000000000000000057 +:1011D0007E18181818181800000000000000000001 +:1011E000C6C6C6C6C6C67C000000000000000000DF +:1011F000C6C6C6EE7C3810000000000000000000EB +:10120000C6C6D6FEFEEEC6000000000000000000CC +:10121000C6EE7C387CEEC600000000000000000036 +:101220006666663C18181800000000000000000008 +:10123000FE0E1C3870E0FE00000000000000000000 :10124000000000000000000000000000000000009E -:10125000000000000000000000000000000000008E -:10126000000000000000000000000000000000007E -:10127000000000000000000000000000000000006E -:10128000000000000000000000000000000000005E -:10129000000000000000000000000000000000004E -:1012A000000000000000000000000000000000003E -:1012B000000000000000000000000000000000002E -:1012C000000000000000000000000000000000001E -:1012D000000000000000000000000000000000000E -:1012E00000000000000000000000000000000000FE -:1012F00000000000000000000000000000000000EE -:1013000000000000000000000000000000000000DD -:1013100000000000000000000000000000000000CD -:1013200000000000000000000000000000000000BD -:1013300000000000000000000000000000000000AD -:10134000000000000000000000000000000000009D -:10135000000000000000000000000000000000008D -:10136000000000000000000000000000000000007D -:10137000000000000000000000000000000000006D -:10138000000000000000000000000000000000005D -:10139000000000000000000000000000000000004D -:1013A000000000000000000000000000000000003D -:1013B000000000000000000000000000000000002D -:1013C000000000000000000000000000000000001D -:1013D000000000000000000000000000000000000D -:1013E00000000000000000000000000000000000FD -:1013F00000000000000000000000000000000000ED -:1014000000000000000000000000000000000000DC -:1014100000000000000000000000000000000000CC -:1014200000000000000000000000000000000000BC -:1014300000000000000000000000000000000000AC -:10144000000000000000000000000000000000009C -:10145000000000000000000000000000000000008C -:10146000000000000000000000000000000000007C -:10147000000000000000000000000000000000006C -:10148000000000000000000000000000000000005C -:10149000000000000000000000000000000000004C -:1014A000000000000000000000000000000000003C -:1014B000000000000000000000000000000000002C -:1014C000000000000000000000000000000000001C -:1014D000000000000000000000000000000000000C -:1014E00000000000000000000000000000000000FC -:1014F00000000000000000000000000000000000EC -:1015000000000000000000000000000000000000DB -:1015100000000000000000000000000000000000CB -:1015200000000000000000000000000000000000BB -:1015300000000000000000000000000000000000AB -:10154000000000000000000000000000000000009B -:10155000000000000000000000000000000000008B -:10156000000000000000000000000000000000007B -:10157000000000000000000000000000000000006B -:10158000000000000000000000000000000000005B -:10159000000000000000000000000000000000004B -:1015A000000000000000000000000000000000003B -:1015B000000000000000000000000000000000002B -:1015C000000000000000000000000000000000001B -:1015D000000000000000000000000000000000000B -:1015E00000000000000000000000000000000000FB -:1015F00000000000000000000000000000000000EB -:1016000000000000000000000000000000000000DA -:1016100000000000000000000000000000000000CA -:1016200000000000000000000000000000000000BA -:1016300000000000000000000000000000000000AA -:10164000000000000000000000000000000000009A -:10165000000000000000000000000000000000008A -:10166000000000000000000000000000000000007A -:10167000000000000000000000000000000000006A -:10168000000000000000000000000000000000005A -:10169000000000000000000000000000000000004A -:1016A000000000000000000000000000000000003A -:1016B000000000000000000000000000000000002A -:1016C000000000000000000000000000000000001A -:1016D000000000000000000000000000000000000A -:1016E00000000000000000000000000000000000FA -:1016F00000000000000000000000000000000000EA -:1017000000000000000000000000000000000000D9 -:1017100000000000000000000000000000000000C9 -:1017200000000000000000000000000000000000B9 -:1017300000000000000000000000000000000000A9 -:101740000000000000000000000000000000000099 -:101750000000000000000000000000000000000089 -:101760000000000000000000000000000000000079 -:101770000000000000000000000000000000000069 -:101780000000000000000000000000000000000059 -:101790000000000000000000000000000000000049 -:1017A0000000000000000000000000000000000039 -:1017B0000000000000000000000000000000000029 -:1017C0000000000000000000000000000000000019 -:1017D0000000000000000000000000000000000009 -:1017E00000000000000000000000000000000000F9 -:1017F00000000000000000000000000000000000E9 -:1018000000000000000000000000000000000000D8 -:1018100000000000000000000000000000000000C8 -:1018200000000000000000000000000000000000B8 -:1018300000000000000000000000000000000000A8 -:101840000000000000000000000000000000000098 -:101850000000000000000000000000000000000088 -:101860000000000000000000000000000000000078 -:101870000000000000000000000000000000000068 -:101880000000000000000000000000000000000058 -:101890000000000000000000000000000000000048 -:1018A0000000000000000000000000000000000038 -:1018B0000000000000000000000000000000000028 -:1018C0000000000000000000000000000000000018 -:1018D0000000000000000000000000000000000008 -:1018E00000000000000000000000000000000000F8 -:1018F00000000000000000000000000000000000E8 -:1019000000000000000000000000000000000000D7 -:1019100000000000000000000000000000000000C7 -:1019200000000000000000000000000000000000B7 -:1019300000000000000000000000000000000000A7 -:101940000000000000000000000000000000000097 -:101950000000000000000000000000000000000087 -:101960000000000000000000000000000000000077 -:101970000000000000000000000000000000000067 -:101980000000000000000000000000000000000057 -:101990000000000000000000000000000000000047 -:1019A0000000000000000000000000000000000037 -:1019B0000000000000000000000000000000000027 -:1019C0000000000000000000000000000000000017 -:1019D0000000000000000000000000000000000007 -:1019E00000000000000000000000000000000000F7 -:1019F00000000000000000000000000000000000E7 -:101A000000000000000000000000000000000000D6 -:101A100000000000000000000000000000000000C6 -:101A200000000000000000000000000000000000B6 -:101A300000000000000000000000000000000000A6 -:101A40000000000000000000000000000000000096 -:101A50000000000000000000000000000000000086 -:101A60000000000000000000000000000000000076 -:101A70000000000000000000000000000000000066 -:101A80000000000000000000000000000000000056 -:101A90000000000000000000000000000000000046 -:101AA0000000000000000000000000000000000036 -:101AB0000000000000000000000000000000000026 -:101AC0000000000000000000000000000000000016 -:101AD0000000000000000000000000000000000006 -:101AE00000000000000000000000000000000000F6 -:101AF00000000000000000000000000000000000E6 -:101B000000000000000000000000000000000000D5 -:101B100000000000000000000000000000000000C5 -:101B200000000000000000000000000000000000B5 -:101B300000000000000000000000000000000000A5 -:101B40000000000000000000000000000000000095 -:101B50000000000000000000000000000000000085 -:101B60000000000000000000000000000000000075 -:101B70000000000000000000000000000000000065 -:101B80000000000000000000000000000000000055 -:101B90000000000000000000000000000000000045 -:101BA0000000000000000000000000000000000035 -:101BB0000000000000000000000000000000000025 -:101BC0000000000000000000000000000000000015 -:101BD0000000000000000000000000000000000005 -:101BE00000000000000000000000000000000000F5 -:101BF00000000000000000000000000000000000E5 -:101C000000000000000000000000000000000000D4 -:101C100000000000000000000000000000000000C4 -:101C200000000000000000000000000000000000B4 -:101C300000000000000000000000000000000000A4 -:101C40000000000000000000000000000000000094 -:101C50000000000000000000000000000000000084 -:101C60000000000000000000000000000000000074 -:101C70000000000000000000000000000000000064 -:101C80000000000000000000000000000000000054 -:101C90000000000000000000000000000000000044 -:101CA0000000000000000000000000000000000034 -:101CB0000000000000000000000000000000000024 -:101CC0000000000000000000000000000000000014 -:101CD0000000000000000000000000000000000004 -:101CE00000000000000000000000000000000000F4 -:101CF00000000000000000000000000000000000E4 -:101D000000000000000000000000000000000000D3 -:101D100000000000000000000000000000000000C3 -:101D200000000000000000000000000000000000B3 -:101D300000000000000000000000000000000000A3 -:101D40000000000000000000000000000000000093 -:101D50000000000000000000000000000000000083 -:101D60000000000000000000000000000000000073 -:101D70000000000000000000000000000000000063 -:101D80000000000000000000000000000000000053 -:101D90000000000000000000000000000000000043 -:101DA0000000000000000000000000000000000033 -:101DB0000000000000000000000000000000000023 -:101DC0000000000000000000000000000000000013 -:101DD0000000000000000000000000000000000003 -:101DE00000000000000000000000000000000000F3 -:101DF00000000000000000000000000000000000E3 -:101E000000000000000000000000000000000000D2 -:101E100000000000000000000000000000000000C2 -:101E200000000000000000000000000000000000B2 -:101E300000000000000000000000000000000000A2 -:101E40000000000000000000000000000000000092 -:101E50000000000000000000000000000000000082 -:101E60000000000000000000000000000000000072 -:101E70000000000000000000000000000000000062 -:101E80000000000000000000000000000000000052 -:101E90000000000000000000000000000000000042 -:101EA0000000000000000000000000000000000032 -:101EB0000000000000000000000000000000000022 -:101EC0000000000000000000000000000000000012 -:101ED0000000000000000000000000000000000002 -:101EE00000000000000000000000000000000000F2 -:101EF00000000000000000000000000000000000E2 -:101F000000000000000000000000000000000000D1 -:101F100000000000000000000000000000000000C1 -:101F200000000000000000000000000000000000B1 -:101F300000000000000000000000000000000000A1 -:101F40000000000000000000000000000000000091 -:101F50000000000000000000000000000000000081 -:101F60000000000000000000000000000000000071 -:101F70000000000000000000000000000000000061 -:101F80000000000000000000000000000000000051 -:101F90000000000000000000000000000000000041 -:101FA0000000000000000000000000000000000031 -:101FB0000000000000000000000000000000000021 -:101FC0000000000000000000000000000000000011 -:101FD0000000000000000000000000000000000001 -:101FE00000000000000000000000000000000000F1 -:101FF00000000000000000000000000000000000E1 +:10125000FFFFFFFFFFFFFFFF000000000000000096 +:101260000000000000000000FFFFFFFFFFFFFFFF86 +:10127000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:101280000000007E7E000000000000000000000062 +:101290000000442810284400000000000000000066 +:1012A000FFFFFFFFFFFFFFFF7F7F7F7F7F7F7F7F4E +:1012B000183C3C3C1818001800000000000000001A +:1012C000FF7F7F7F7FFFE3C1FF80808080001C3E27 +:1012D000808080C1E3FFFFFF7F7F7F3E1C0000FF17 +:1012E000387C7C7C7C7C38000804040404040800FE +:1012F00003060C0C0808040303050B0B0F0F070370 +:1013000001020408102040800103070F1F3F7FFFE8 +:1013100000000000000738C00000000000073FFF89 +:101320000000000000E01C030000000000E0FCFFE3 +:10133000804020100804020180C0E0F0F8FCFEFFAD +:10134000040E0E0E6E646060FFFFFFFFFFFFFFFFE5 +:10135000070F1F1F7FFFFF7F07081000608080407E +:1013600003071F3F3F3F79F70304182020204688DA +:10137000C0E0F0F4FEBFDFFFC02010140A412101DD +:1013800090B8F8FAFFFFFFFE90A8480A0501010295 +:101390003B1D0E0F07000000241209080700000083 +:1013A000FFBF1CC0F3FF7E1C0040E33F0C81621CAA +:1013B000BF7F3D83C7FFFF3C4080C27C3800C33CF9 +:1013C000FCFEFFFEFEF860000402010006986000CB +:1013D000C0201010101020C0C0E0F0F0F0F0E0C00D +:1013E000000000003F7FE0C00000000000001C3E45 +:1013F000889C8880808080807F7F7F3E1C000000EA +:10140000FEFEFEFEFEFEFEFEFFFFFFFFFFFFFFFFF4 +:10141000081424C40340A12600081838FCBF5ED974 +:10142000FFFFFFFF7F7F7F7F8181818181818181BC +:10143000FFFFFFFFFFFFFFFF0101010101010101AC +:101440007F8080989C8C8080007F7F67677F7F7F14 +:10145000FF0101FF101010FF00FFFFFFFFFFFFFF64 +:1014600080808080808080807F7F7F7F7F7F7F7F84 +:10147000010101FF101010FFFFFFFFFFFFFFFFFF43 +:10148000FF0000000000000000FFFFFFFFFFFFFF64 +:10149000FE0101191D0D010100FFFFE7E7FFFFFF3E +:1014A0000101010101010101FFFFFFFFFFFFFFFF3C +:1014B0003F7F7FFFFFFFFFFF3F6040C08080808055 +:1014C000FFFFFFFFFFFF7E3C808080808081423CE9 +:1014D000FFFFFFFFFFFFFFFFFF0000000000000015 +:1014E000FFFFFFFFFFFFFE7C000000000001827C89 +:1014F000FFFFFFFFFFFFFE7C00000000000183FFF5 +:10150000F8FCFEFEFFFFFFFFF804020201010101EB +:10151000FFFFFFFFFFFF7E3C010101010181423C13 +:101520000008080810101000FFFFFFFFFFFFFFFF7B +:10153000007F7F787373737F7F80A0878F8E8E8606 +:1015400000FFFF3F9F9F9F1FFE0105C1E17171F1E9 +:101550007E7E7F7E7E7F7FFF8181808181A080FF74 +:101560007F7FFF7F7FFFFFFFF1C1C181C1C501FF09 +:101570007F80A080808080807FFFFFFFFFFFFFFFD4 +:10158000FE01050101010101FEFFFFFFFFFFFFFF5B +:101590008080808080A0807FFFFFFFFFFFFFFF7FB4 +:1015A00001010101010501FEFFFFFFFFFFFFFFFE3B +:1015B00000000000FCFE0703000000000000387C73 +:1015C0001139110101010101FEFEFE7C380000000D +:1015D000EF2828282828EF0020E7E7E7E7E7EF00D3 +:1015E000FE8282828282FE00027E7E7E7E7EFE00FF +:1015F000808080989C8C807F7F7F7F67677F7F7FE4 +:10160000FFFF83F3F3F3F3F3FF80FC8C8C8C8C8C63 +:10161000FFFFF0F6F6F6F6F6FF000F0909090909D3 +:10162000FFFF000000000000FF00FFFFFFFFFFFFC3 +:10163000FFFF01572F572F57FF01FFA9D1A9D1A9AC +:10164000F3F3F3F3F3F3FF3F8C8C8C8C8C8CFF3F24 +:10165000F6F6F6F6F6F6FFFF090909090909FFFF94 +:10166000000000000000FFFFFFFFFFFFFFFFFFFF84 +:101670002F572F572F57FFFCD1A9D1A9D1A9FFFC74 +:101680003C3C3C3C3C3C3C3C232323232323232362 +:10169000FBFBFBFBFBFBFBFB040404040404040452 +:1016A000BC5CBC5CBC5CBC5C44A444A444A444A43A +:1016B0001F204040808080811F3F7F7FFFFFFFFE13 +:1016C000FF8080C0FFFFFEFEFF7F7F3F0000010123 +:1016D000FF7F7FFFFF070303FF80800000F8FCFC13 +:1016E000FF0000000081C3FFFFFFFFFFFF7E3C0003 +:1016F000F8FCFEFEE3C18181F80402021D3F7F7FFA +:1017000083FFFFFFFFFF7F1FFC8080808080601FC2 +:10171000FCFCFCFCFEFEFFFF03030303010100FFD2 +:1017200001010101030307FFFEFEFEFEFCFCF8FFC2 +:10173000FFFFFFFFFFFFFFFF00000000000000FFB2 +:1017400081C1E3FFFFFFFFFE7F3F1D01010103FE9B +:10175000FFFFFFFFFFFBB5CE808080808084CAB191 +:10176000FFFFFFFFFFDFAD73010101010121538D79 +:1017700077777777777777770000000077FFFFFF3D +:1017800000000000000000FFFFFFFFFFFFFFFFFF62 +:101790007777777700000000FFFFFF77777777771D +:1017A000010101191D0D01FEFFFFFFE7E7FFFFFE2D +:1017B00020787FFEFEFEFEFE002121414141414195 +:1017C000049AFAFDFDFDFDFD008080808080808010 +:1017D0007E382100010001002121010101010101E8 +:1017E000FA8A848080808080808080808080808071 +:1017F000020400100040800001010608182020C0EB +:101800000B0B3B0BFB0B0B0A0404C4F4F4040405A0 +:1018100090101F101F10109070F0F0FFFFF0F0708C +:101820003F78E7CF58585090C08718B0E7E7EFEF00 +:10183000B0FCE2C1C1838F7E6F435D3F3F7F7FFF7E +:10184000FE030F917060203103FFF16ECFDFFFFFC9 +:101850003F3F1D397BF386FEFDFBFBF7F70F7FFF54 +:10186000FFFFFFFFFF8080FFFF80808080FFFF8001 +:10187000FEFFFFFFFF0303FFFE03030303FFFF035E +:1018800000FFFFFFFFFF000000FF00000000FFFF60 +:101890003CFCFCFCFCFC040423F30B0B0B07FFFFDC +:1018A000FFFFFFFF80FFFFFF80808080FF80808040 +:1018B000FFFFFFFF03FFFFFF03030303FF03030318 +:1018C000FFFFFFFFFF00FFFF0000000000FF000020 +:1018D000FCFCFEFEFE02FEFE0707030303FF0303FC +:1018E000FF8080808080808080FFFFFFFFFFFFFF00 +:1018F000FF0303030303030303FFFFFFFFFFFFFFD8 +:101900000202020202020404FFFFFFFFFFFFFFFFCB +:101910008080AAD5AAFFFFFFFFFFD5AAD58080FF50 +:101920000303AB57ABFFFFFEFFFF57AB570303FEAD +:101930000055AA55FFFFFF00FFAA55AA0000FF00AF +:101940000454AC5CFCFCFC3CFFAF57AB0B0BF3232B +:101950003F3F3F3F000000FFFFFFFFFFFFFFFFFF94 +:101960007E7C7C78000000FFFFFFFFFFFFFFFFFF92 +:101970001F0F0F07000000FFFFFFFFFFFFFFFFFF2C +:10198000FEFCFCF8000000FFFFFFFFFFFFFFFFFF72 +:1019900000000000FFFF0000000000000000000049 +:1019A0001818181818181818000000000000000077 +:1019B000071F3FFF7F7FFFFFFFFFFFFFFFFFFFFFCF +:1019C000E1F9FDFFFEFEFFFFFFFFFFFFFFFFFFFF4F +:1019D000F0101010101010FF00E0E0E0E0E0E0E098 +:1019E0001F101010101010FF000F0F0F0F0F0F0F10 +:1019F000929292FEFE00000048486C000000FE003B +:101A00000A0A3A0AFB0B0B0B0505C5F5F40404049E +:101A100090909F909F9090907070707F7F7070708A +:101A200001010101010101010000000000000000AE +:101A300080808080808080800000000000000000A6 +:101A4000088891D15353733FFFFFFFFFFFFEBECEC7 +:101A50000000070F0C1B1B1B000000000304040404 +:101A60000000E0F0F0F8F8F8000060303098989846 +:101A70001B1B1B1B1B0F0F070404040404030000A3 +:101A8000F8F8F8F8F8F0F0E0989898989830306006 +:101A9000F111111F101010FF0FEFEFEFEFEFEFE05C +:101AA0001F1010F0101010FFE0EFEFEFEFEFEF0F4F +:101AB0007FBFDFEFF0F0F0F0804020100F0F0F0F2E +:101AC000F0F0F0F0FFFFFFFF0F0F0F0F1F3F7FFF42 +:101AD000FFFFFFFF0F0F0F0F0103070FFFFFFFFFB8 +:101AE0000F0F0F0FF7FBFDFEFFFFFFFFFFFFFFFFD5 +:101AF00000000000000018180000000000000000B6 +:101B00001F3F7F7F7FFFFFFF1F204040408082827A +:101B1000FFFFFF7F7F7F3F1E8280A0444340211E46 +:101B2000F8FCFEFEFEFFFFFFF80402020201414145 +:101B3000FFFFFFFEFEFEFC7841010522C202847811 +:101B40007F80808080808080807F7F7F7F7F7F7F9D +:101B5000DE616161715E7F6161DFDFDFDFFFC1DF59 +:101B60008080C0F0BF8F817E7F7FFF3F4F717FFFFE +:101B70006161C1C1818183FEDFDFBFBF7F7F7F7F66 +:101B80000000030F1F3F7F7F0000030C1020404028 +:101B90000000C0F0F8FCFEFE0000C03008040202A5 +:101BA000FFFFFFFFFFFFFFFF80808080808080803D +:101BB000FFFFFFFFFFFFFFFF010101010101010125 +:101BC0007F7F7F3F3F1F0F0740404020301C0F07A3 +:101BD000FEFEFEFCFCF8F0F0020202040C38F0F00D +:101BE0000F0F0F0F0F0F070F08080808080C050A42 +:101BF000F0F0F0F0F0F0E0F0105050505030A05005 +:101C000081C1A3A39D818181004122221C0000008B +:101C1000E3F7C1C1C1C1F7E3E3143E3E3E3E14E326 +:101C20000000070F0C1B1B1BFFFFF8F0F0E0E0E0CB +:101C30000000E0F0F0F8F8F8FFFF7F3F3F9F9F9F24 +:101C40001B1B1B1B1B0F0F07E0E0E0E0E0F3F0F8AD +:101C5000F8F8F8F8F8F0F0E09F9F9F9F9F3F3F7FD4 +:101C6000E0FFFFFFFFFFFFFF00701F10707F7F7F0F +:101C700007FFFFFFFFFFFFFF0003F80003FBFBFB75 +:101C8000FFFFFFFFFFFEFFEF7C7B76757577176721 +:101C9000FFDFEFAFAF6FEFE73BFB7BFBFBF3F8F34F +:101CA0001F1F3F3F7063E7E50F0F1F1F3F3C787A10 +:101CB000F0F0F8F80CC4E4A6F8F8FCFCFE3E1E5F59 +:101CC000E9E9E9EFE2E3F0FF767676707D7C7F7FED +:101CD000969696F646C60EFE6F6F6F0FBF3FFFFFDC +:101CE0000000000000007E3C3C7E7EFFFFFF4200C3 +:101CF0003C4299A1A199423C000000000000000074 +:101D00000F1F1F3F3F7F7F7FF0E0E0C0C0808080DB +:101D1000F0F8F8FCFCFEFEFE0F07070303010101CB +:101D20007F7F3F3F3F3F1F1F8080C0C0E0F8FEFF26 +:101D3000FEFFFFFFFCFCFEFEFF7F1F070303018188 +:101D40007F7F7F3F3F3F3F1F808080C0C0E0E0F04B +:101D5000FEFEFFFFFFFFFFFE010101030307070F68 +:101D60001F0F0F0700000000FFFFFFFFFFFFFFFF37 +:101D7000FEFCFCF800000000FFFFFFFFFFFFFFFF7D +:101D80007E7E7E7E7F7F7F7F818181818181818157 +:101D9000FFFFFFFFFFFFFFFE010101030307070F26 +:101DA000FEFEFEFEFFFFFFFF010101010101010137 +:101DB0007F7F7F7F7F7F7F7F818181818181818123 +:101DC000FFFFFFFFFCFEFE7EFF030303030303FF91 +:101DD000FFFFFFFF00000000FFFFFFFFFFFFFFFF0F +:101DE0007F7F7F7F7F7F7F7F8080808080808080FB +:101DF000FFFFFFFFFFFFFFFE0101010307030101DA +:101E00007E7E7F7F7F7F7F7F8181818181818181D4 +:101E10003F3F3F3F00000000FFFFFFFFFFFFFFFFCE +:101E20007E7C7C7800000000FFFFFFFFFFFFFFFFCC +:101E3000FEFEFFFF7F7F7F7F8181818181818181A4 +:101E40007F7F3F3F3F3F1F1F8080C0C0E0F8FEFF05 +:101E50003FBFFFFFFCFCFEFEFF7F1F070303018166 +:101E60007F7F7E7E7F7F7F7F818181818181818174 +:101E70007E7E7E7E7F7F7F7F818181818181818166 +:101E800081C3C3E7E7FFFFFF7E3C3C18180000005A +:101E90000F435B5331190F07F2FEFEFFFFEFF7F818 +:101EA000C1C3C684FCFC0E02BFBEBD7B7B07F3FD35 +:101EB000102022BAE6E1C0C0FFFFFF67599EBFBFF6 +:101EC00020A6542620C6542620E654262106542651 +:101ED0002085014420865448209A014920A5C946FE +:101EE00020BAC94A20A60AD0D1D8D8DED1D0DADEAD +:101EF000D120C60AD2D3DBDBDBD9DBDCDBDF20E69B +:101F00000AD4D5D4D9DBE2D4DADBE021060AD6D76D +:101F1000D6D7E126D6DDE1E1212614D0E8D1D0D113 +:101F2000DED1D8D0D126DED1DED1D0D1D0D126217C +:101F30004614DB4242DB42DB42DBDB4226DB42DB98 +:101F400042DB42DB4226216646DB216C0EDFDBDB17 +:101F5000DB26DBDFDBDFDBDBE4E526218614DBDBF6 +:101F6000DBDE43DBE0DBDBDB26DBE3DBE0DBDBE6EE +:101F7000E32621A614DBDBDBDB42DBDBDBD4D9266B +:101F8000DBD9DBDBD4D9D4D9E721C5165F9595958C +:101F900095959595959798789596959597989798FE +:101FA000957A21ED0ECF01090805241712171D0E91 +:101FB000170D18224B0D012419150A220E1B24108F +:101FC0000A160E228B0D022419150A220E1B24104C +:101FD0000A160E22EC041D18192822F6010023C946 +:101FE000565523E20499AAAAAA23EA0499AAAAAAFE +:101FF00000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 :00000001FF diff --git a/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do b/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do index 1bd482e..6767ad7 100644 --- a/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do +++ b/de0_cv_nes/simulation/modelsim/de0_cv_nes_run_msim_rtl_vhdl.do @@ -14,7 +14,8 @@ vcom -93 -work work {../../ppu/ppu.vhd} vcom -93 -work work {../../ppu/render.vhd} #vcom -93 -work work {../../dummy-ppu.vhd} -vcom -93 -work work {../../dummy-mos6502.vhd} +#vcom -93 -work work {../../dummy-mos6502.vhd} +vcom -93 -work work {../../dummy-smb-rom.vhd} #vcom -93 -work work {../../mem/prg_rom.vhd} #vcom -93 -work work {../../mos6502.vhd} @@ -171,5 +172,6 @@ run 25 us wave zoom full #run 16ms -run 150 us +run 140 us +run 140 us -- 2.11.0