From bd017a0d741bce164cb7f266ea664b60bc7fa466 Mon Sep 17 00:00:00 2001 From: bols Date: Wed, 10 Aug 2011 17:23:33 +0900 Subject: [PATCH] mv files --- USB_Keybord_MAX3421E/src/Makefile | 14 ++++++++++++++ USB_Keybord_MAX3421E/src/SPI_controler.nsl | 12 ++++++++++++ 2 files changed, 26 insertions(+) create mode 100644 USB_Keybord_MAX3421E/src/Makefile create mode 100644 USB_Keybord_MAX3421E/src/SPI_controler.nsl diff --git a/USB_Keybord_MAX3421E/src/Makefile b/USB_Keybord_MAX3421E/src/Makefile new file mode 100644 index 0000000..9ae9207 --- /dev/null +++ b/USB_Keybord_MAX3421E/src/Makefile @@ -0,0 +1,14 @@ +SRCS=\ +SPI_controler.nsl + +SC_SRCS=$(patsubst %.nsl,%.sc,$(filter %.nsl,$(SRCS))) + +.SUFFIXES: .o .sc .nsl + +all:create_sc + echo $(SC_SRCS) + +create_sc:$(SC_SRCS) + +.nsl.sc: + nsl2sc $< diff --git a/USB_Keybord_MAX3421E/src/SPI_controler.nsl b/USB_Keybord_MAX3421E/src/SPI_controler.nsl new file mode 100644 index 0000000..52d99e9 --- /dev/null +++ b/USB_Keybord_MAX3421E/src/SPI_controler.nsl @@ -0,0 +1,12 @@ +declare spi_controler{ + input send_data[8]; + output resv_data[8]; + func_in send(); + func_in read_MOSI(); + func_in write_MOSO(send_data); +} +module spi_controler{ + reg output_data[8]; + reg input_data[8]; + reg work_flg; +} -- 2.11.0