From becbfa71df2af9a9b72c3d5202cc4020724d8ee5 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Sun, 13 Mar 2016 12:37:59 +0900 Subject: [PATCH] nmi instruction validated ok --- de1_nes/cpu/decoder.vhd | 10 +++++----- de1_nes/de1_nes.vhd | 3 ++- 2 files changed, 7 insertions(+), 6 deletions(-) diff --git a/de1_nes/cpu/decoder.vhd b/de1_nes/cpu/decoder.vhd index d2cd3c4..9cdf007 100644 --- a/de1_nes/cpu/decoder.vhd +++ b/de1_nes/cpu/decoder.vhd @@ -1085,14 +1085,14 @@ end procedure; pch_cmd <= "1110"; wk_next_cycle <= R0; --- if (nmi_n'event and nmi_n = '1') then --- --reset nmi handle status --- nmi_handled_n <= '1'; --- end if; - elsif (rising_edge(set_clk)) then d_print(string'("-")); + if (nmi_n = '1') then + --nmi handle flag reset. + nmi_handled_n <= '1'; + end if; + if rdy = '0' then --case dma is runnting. disable_pins; diff --git a/de1_nes/de1_nes.vhd b/de1_nes/de1_nes.vhd index 43603ba..c1e8a5f 100644 --- a/de1_nes/de1_nes.vhd +++ b/de1_nes/de1_nes.vhd @@ -43,6 +43,7 @@ entity de1_nes is signal dbg_ppu_data, dbg_ppu_scrl_x, dbg_ppu_scrl_y : out std_logic_vector (7 downto 0); signal dbg_disp_nt, dbg_disp_attr : out std_logic_vector (7 downto 0); signal dbg_disp_ptn_h, dbg_disp_ptn_l : out std_logic_vector (15 downto 0); + signal dummy_nmi : in std_logic; --NES instance @@ -365,7 +366,7 @@ begin dbg_vram_ad <= vram_ad ; dbg_disp_ptn_l <= "00" & v_addr ; dbg_disp_ptn_h <= "000" & dbg_plt_addr & dbg_plt_data; - +-- nmi_n <= dummy_nmi; -- dbg_ppu_ctrl <= dbg_pcl; -- dbg_ppu_mask <= dbg_pch; --nes ppu instance -- 2.11.0