From d276d8568a7bdfe56c8ab618a08b69954216b74f Mon Sep 17 00:00:00 2001 From: brolley Date: Tue, 16 Jul 2002 19:25:45 +0000 Subject: [PATCH] Correct typos. --- sid/component/cache/hw-cache.txt | 2 +- sid/component/cache/hw-cache.xml | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/sid/component/cache/hw-cache.txt b/sid/component/cache/hw-cache.txt index 61bb057e29..d5b5f62f26 100644 --- a/sid/component/cache/hw-cache.txt +++ b/sid/component/cache/hw-cache.txt @@ -266,7 +266,7 @@ Component Reference: |flush-and-invalidate |in |32-bit |flushing, | | | |address |invalidating| |-------------------------+---------+-----------+------------| - |fluish-and-invalidate-set|in |set index |flushing, | + |flush-and-invalidate-set |in |set index |flushing, | | | | |invalidating| |-------------------------+---------+-----------+------------| |prefetch |in |32-bit |prefetching | diff --git a/sid/component/cache/hw-cache.xml b/sid/component/cache/hw-cache.xml index f9aa4a12cb..cef7c2bc88 100644 --- a/sid/component/cache/hw-cache.xml +++ b/sid/component/cache/hw-cache.xml @@ -19,7 +19,7 @@ - + -- 2.11.0