From d3310d6602816db65abfa0a8314f73caa0ef3067 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Tue, 10 Sep 2013 18:35:28 +0900 Subject: [PATCH] ppu addr reset latch timing changed. --- simulation/ppu/ppu.vhd | 1 + 1 file changed, 1 insertion(+) diff --git a/simulation/ppu/ppu.vhd b/simulation/ppu/ppu.vhd index 6f2a2f4..e33065c 100644 --- a/simulation/ppu/ppu.vhd +++ b/simulation/ppu/ppu.vhd @@ -471,6 +471,7 @@ begin oam_bus_ce_n <= '1'; oam_addr_ce_n <= '1'; ppu_addr_cnt_ce_n <= '1'; + ppu_latch_rst_n <= '1'; rd_n <= 'Z'; wr_n <= 'Z'; -- 2.11.0