From dbdb63eecaff8e3761f92c9da1b19251213e6660 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Sat, 13 Aug 2016 18:51:46 +0900 Subject: [PATCH] status reg update timing bug fixed. --- de1_nes/cpu/alu.vhd | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/de1_nes/cpu/alu.vhd b/de1_nes/cpu/alu.vhd index 575e607..1f1fd09 100644 --- a/de1_nes/cpu/alu.vhd +++ b/de1_nes/cpu/alu.vhd @@ -597,7 +597,8 @@ begin alu_arith_p : process ( arith_en_n, alu_cycle, - int_d_bus, acc_out, d_out + int_d_bus, acc_out, d_out, + n, z, c, v ) --data calcuration follows the bus input... -- 2.11.0