From f77af7bcf71f6d04ae43601ca16204f60de40176 Mon Sep 17 00:00:00 2001 From: astoria-d Date: Fri, 2 Aug 2013 09:24:26 +0900 Subject: [PATCH] stx abs supporrted. --- simulation/cpu/decoder.vhd | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/simulation/cpu/decoder.vhd b/simulation/cpu/decoder.vhd index bc810ae..b13084e 100644 --- a/simulation/cpu/decoder.vhd +++ b/simulation/cpu/decoder.vhd @@ -1682,6 +1682,10 @@ end procedure; elsif instruction = conv_std_logic_vector(16#8e#, dsize) then --abs d_print("stx"); + a3_abs; + if exec_cycle = T3 then + front_oe(x_cmd, '0'); + end if; elsif instruction = conv_std_logic_vector(16#84#, dsize) then --zp -- 2.11.0