OSDN Git Service

sdram controller OK!!
[motonesfpga/motonesfpga.git] / tools / qt_proj_test5 /
drwxr-xr-x   ..
-rw-r--r-- 243 .gitignore
-rw-r--r-- 749 alu_test.vhd
-rw-r--r-- 2905 clock_divider.vhd
-rw-r--r-- 11466 cpu_registers.vhd
-rw-r--r-- 6987 motonesfpga_common.vhd
-rw-r--r-- 2643 prg_rom.vhd
-rw-r--r-- 1302 qt_proj_test5.qpf
-rw-r--r-- 44460 qt_proj_test5.qsf
-rw-r--r-- 39378 qt_proj_test5.vhd
-rw-r--r-- 347038 sdram-test.stp
-rw-r--r-- 347082 sdram-test_auto_stripped.stp
-rw-r--r-- 25847 sdram_controller.vhd
-rw-r--r-- 10133 sdram_rw.vhd
-rw-r--r-- 1155 sdram_write_fifo.cmp
-rw-r--r-- 291 sdram_write_fifo.qip
-rw-r--r-- 7439 sdram_write_fifo.vhd
drwxr-xr-x - simulation
-rw-r--r-- 9588 testbench_qt_proj_test5.vhd
-rw-r--r-- 19549 vga.vhd
-rw-r--r-- 940 vga_clk_gen.cmp
-rw-r--r-- 419 vga_clk_gen.ppf
-rw-r--r-- 373 vga_clk_gen.qip
-rw-r--r-- 15699 vga_clk_gen.vhd