From: Chih-Wei Huang Date: Mon, 21 Jun 2021 16:44:20 +0000 (+0800) Subject: Update firmwares from linux-firmware.git X-Git-Url: http://git.osdn.net/view?p=android-x86%2Fdevice-generic-firmware.git;a=commitdiff_plain;h=refs%2Fheads%2Foreo-x86 Update firmwares from linux-firmware.git The reference commit is 0f66b74b6267fce66395316308d88b0535aa3df2. Excluded commits: * bacc8837e2d893be7184ccb43bc3520dc21b265b * c2e0d1420339eead90149be10447ff83ff60b6b3 * 2b13afc1a0ff82a5ebfc389f44c7cb9f5ca94774 * 15f424bf026a1a749038ce4749e28c7cb9884f60 * cfa004c7d82e1903cc88a918ee9b270fb8f47b28 * 4a5eaa21fa2fb77a26541c6ae1f7bb91b8abddff * 15003b062125921d3b51256af5583c16a955db2b * d5567c5bfa053de18160f30f43c01fe5bbd99286 * 572c3d863fbd6a5fea9530be41886aa1cf8964c1 * 379551b14e9f34236d0746c46f89935dc2e237b1 * 520f71bdedba1b0687b0d9fbd86ff9e84a56677b * 293c78917c8113b7e3193733cb15d8f66b0249c7 * 43b17ac14c6a673b86a6a57651cb445ae08ff1a1 * 7118987b2f7aff733573121607bc9640a4880296 * ef5ea5d1d3f0a72a92e0a09f6cff253560374a39 * 3e3497c66350a5db63b56717c8f80badd9ce5ed1 * 64f02a260ef8bd9e0d1dad369ef376338045d15b * bc3e61020299824cab4a5093622ab3d77bcb84d2 * 58fb90a0e95540b26127000a7fd832f590902ebf * 42a66e5bf3bf10c20f3b059ad15cea2f93a493f7 * fa0efeff4894e36b9c3964376f2c99fae101d147 * 3f23f5125b1fef5ed2103c0236a5657966e30e4d --- diff --git a/3com/typhoon.bin b/3com/typhoon.bin new file mode 100644 index 0000000..81d1294 Binary files /dev/null and b/3com/typhoon.bin differ diff --git a/CleanSpec.mk b/CleanSpec.mk index ea87a03..fe16fab 100644 --- a/CleanSpec.mk +++ b/CleanSpec.mk @@ -1 +1,3 @@ $(call add-clean-step, rm -rf $(TARGET_OUT)/lib/firmware) +$(call add-clean-step, rm -rf $(PRODUCT_OUT)/obj/kernel) +$(call add-clean-step, rm -rf $(TARGET_OUT)/lib/firmware) diff --git a/amdgpu/navi10_asd.bin b/amdgpu/navi10_asd.bin index 91718c9..ab932fd 100644 Binary files a/amdgpu/navi10_asd.bin and b/amdgpu/navi10_asd.bin differ diff --git a/amdgpu/navi10_ce.bin b/amdgpu/navi10_ce.bin index 82bc08b..d36f84d 100644 Binary files a/amdgpu/navi10_ce.bin and b/amdgpu/navi10_ce.bin differ diff --git a/amdgpu/navi10_me.bin b/amdgpu/navi10_me.bin index 802987f..7638ebf 100644 Binary files a/amdgpu/navi10_me.bin and b/amdgpu/navi10_me.bin differ diff --git a/amdgpu/navi10_mec.bin b/amdgpu/navi10_mec.bin index 068b531..0d3ef59 100644 Binary files a/amdgpu/navi10_mec.bin and b/amdgpu/navi10_mec.bin differ diff --git a/amdgpu/navi10_mec2.bin b/amdgpu/navi10_mec2.bin index 068b531..0d3ef59 100644 Binary files a/amdgpu/navi10_mec2.bin and b/amdgpu/navi10_mec2.bin differ diff --git a/amdgpu/navi10_pfp.bin b/amdgpu/navi10_pfp.bin index a8c78e4..96666f3 100644 Binary files a/amdgpu/navi10_pfp.bin and b/amdgpu/navi10_pfp.bin differ diff --git a/amdgpu/navi10_sdma.bin b/amdgpu/navi10_sdma.bin index ee6b45c..f7b6000 100644 Binary files a/amdgpu/navi10_sdma.bin and b/amdgpu/navi10_sdma.bin differ diff --git a/amdgpu/navi10_sdma1.bin b/amdgpu/navi10_sdma1.bin index 58b568e..5ffe0ee 100644 Binary files a/amdgpu/navi10_sdma1.bin and b/amdgpu/navi10_sdma1.bin differ diff --git a/amdgpu/navi10_smc.bin b/amdgpu/navi10_smc.bin index b082795..084c3d5 100644 Binary files a/amdgpu/navi10_smc.bin and b/amdgpu/navi10_smc.bin differ diff --git a/amdgpu/navi10_sos.bin b/amdgpu/navi10_sos.bin index c8d5f10..00e0a3e 100644 Binary files a/amdgpu/navi10_sos.bin and b/amdgpu/navi10_sos.bin differ diff --git a/amdgpu/navi14_asd.bin b/amdgpu/navi14_asd.bin index 91718c9..ab932fd 100644 Binary files a/amdgpu/navi14_asd.bin and b/amdgpu/navi14_asd.bin differ diff --git a/amdgpu/navi14_ce.bin b/amdgpu/navi14_ce.bin index 864c1f8..f4326cf 100644 Binary files a/amdgpu/navi14_ce.bin and b/amdgpu/navi14_ce.bin differ diff --git a/amdgpu/navi14_me.bin b/amdgpu/navi14_me.bin index be12a8c..17e6d68 100644 Binary files a/amdgpu/navi14_me.bin and b/amdgpu/navi14_me.bin differ diff --git a/amdgpu/navi14_mec.bin b/amdgpu/navi14_mec.bin index d3693c9..97b2b8c 100644 Binary files a/amdgpu/navi14_mec.bin and b/amdgpu/navi14_mec.bin differ diff --git a/amdgpu/navi14_mec2.bin b/amdgpu/navi14_mec2.bin index d3693c9..97b2b8c 100644 Binary files a/amdgpu/navi14_mec2.bin and b/amdgpu/navi14_mec2.bin differ diff --git a/amdgpu/navi14_pfp.bin b/amdgpu/navi14_pfp.bin index de388a8..405d4bc 100644 Binary files a/amdgpu/navi14_pfp.bin and b/amdgpu/navi14_pfp.bin differ diff --git a/amdgpu/navi14_sdma.bin b/amdgpu/navi14_sdma.bin index 58176c2..7c4fd48 100644 Binary files a/amdgpu/navi14_sdma.bin and b/amdgpu/navi14_sdma.bin differ diff --git a/amdgpu/navi14_sdma1.bin b/amdgpu/navi14_sdma1.bin index 47b9a92..932be60 100644 Binary files a/amdgpu/navi14_sdma1.bin and b/amdgpu/navi14_sdma1.bin differ diff --git a/amdgpu/navi14_smc.bin b/amdgpu/navi14_smc.bin index 83a7ea6..ef6176e 100644 Binary files a/amdgpu/navi14_smc.bin and b/amdgpu/navi14_smc.bin differ diff --git a/amdgpu/navi14_sos.bin b/amdgpu/navi14_sos.bin index bc448d5..4bd3eb9 100644 Binary files a/amdgpu/navi14_sos.bin and b/amdgpu/navi14_sos.bin differ diff --git a/amdgpu/picasso_asd.bin b/amdgpu/picasso_asd.bin index 006eed2..2f948ea 100644 Binary files a/amdgpu/picasso_asd.bin and b/amdgpu/picasso_asd.bin differ diff --git a/amdgpu/picasso_ce.bin b/amdgpu/picasso_ce.bin index ae8e95a..b17ed6c 100644 Binary files a/amdgpu/picasso_ce.bin and b/amdgpu/picasso_ce.bin differ diff --git a/amdgpu/picasso_me.bin b/amdgpu/picasso_me.bin index 29a12df..c84c641 100644 Binary files a/amdgpu/picasso_me.bin and b/amdgpu/picasso_me.bin differ diff --git a/amdgpu/picasso_mec.bin b/amdgpu/picasso_mec.bin index 7fc9cef..71916e1 100644 Binary files a/amdgpu/picasso_mec.bin and b/amdgpu/picasso_mec.bin differ diff --git a/amdgpu/picasso_mec2.bin b/amdgpu/picasso_mec2.bin index 7fc9cef..71916e1 100644 Binary files a/amdgpu/picasso_mec2.bin and b/amdgpu/picasso_mec2.bin differ diff --git a/amdgpu/picasso_pfp.bin b/amdgpu/picasso_pfp.bin index f29bcd3..52032a9 100644 Binary files a/amdgpu/picasso_pfp.bin and b/amdgpu/picasso_pfp.bin differ diff --git a/amdgpu/picasso_sdma.bin b/amdgpu/picasso_sdma.bin index f0aa630..d1f43be 100644 Binary files a/amdgpu/picasso_sdma.bin and b/amdgpu/picasso_sdma.bin differ diff --git a/amdgpu/picasso_ta.bin b/amdgpu/picasso_ta.bin index adad4d4..4a3673e 100644 Binary files a/amdgpu/picasso_ta.bin and b/amdgpu/picasso_ta.bin differ diff --git a/amdgpu/raven2_asd.bin b/amdgpu/raven2_asd.bin index 929cef8..6ed5717 100644 Binary files a/amdgpu/raven2_asd.bin and b/amdgpu/raven2_asd.bin differ diff --git a/amdgpu/raven2_ce.bin b/amdgpu/raven2_ce.bin index 54ec613..4a124b0 100644 Binary files a/amdgpu/raven2_ce.bin and b/amdgpu/raven2_ce.bin differ diff --git a/amdgpu/raven2_me.bin b/amdgpu/raven2_me.bin index 431c3ea..e651e96 100644 Binary files a/amdgpu/raven2_me.bin and b/amdgpu/raven2_me.bin differ diff --git a/amdgpu/raven2_mec.bin b/amdgpu/raven2_mec.bin index 06057b2..b768dba 100644 Binary files a/amdgpu/raven2_mec.bin and b/amdgpu/raven2_mec.bin differ diff --git a/amdgpu/raven2_mec2.bin b/amdgpu/raven2_mec2.bin index 06057b2..b768dba 100644 Binary files a/amdgpu/raven2_mec2.bin and b/amdgpu/raven2_mec2.bin differ diff --git a/amdgpu/raven2_pfp.bin b/amdgpu/raven2_pfp.bin index d01cc72..10e153d 100644 Binary files a/amdgpu/raven2_pfp.bin and b/amdgpu/raven2_pfp.bin differ diff --git a/amdgpu/raven2_sdma.bin b/amdgpu/raven2_sdma.bin index 139b955..d1f43be 100644 Binary files a/amdgpu/raven2_sdma.bin and b/amdgpu/raven2_sdma.bin differ diff --git a/amdgpu/raven_asd.bin b/amdgpu/raven_asd.bin index 929cef8..2f948ea 100644 Binary files a/amdgpu/raven_asd.bin and b/amdgpu/raven_asd.bin differ diff --git a/amdgpu/raven_ce.bin b/amdgpu/raven_ce.bin index ae8e95a..b17ed6c 100644 Binary files a/amdgpu/raven_ce.bin and b/amdgpu/raven_ce.bin differ diff --git a/amdgpu/raven_me.bin b/amdgpu/raven_me.bin index 29a12df..c84c641 100644 Binary files a/amdgpu/raven_me.bin and b/amdgpu/raven_me.bin differ diff --git a/amdgpu/raven_mec.bin b/amdgpu/raven_mec.bin index 7fc9cef..71916e1 100644 Binary files a/amdgpu/raven_mec.bin and b/amdgpu/raven_mec.bin differ diff --git a/amdgpu/raven_mec2.bin b/amdgpu/raven_mec2.bin index 7fc9cef..71916e1 100644 Binary files a/amdgpu/raven_mec2.bin and b/amdgpu/raven_mec2.bin differ diff --git a/amdgpu/raven_pfp.bin b/amdgpu/raven_pfp.bin index f29bcd3..52032a9 100644 Binary files a/amdgpu/raven_pfp.bin and b/amdgpu/raven_pfp.bin differ diff --git a/amdgpu/raven_sdma.bin b/amdgpu/raven_sdma.bin index f0aa630..d1f43be 100644 Binary files a/amdgpu/raven_sdma.bin and b/amdgpu/raven_sdma.bin differ diff --git a/amdgpu/vega10_asd.bin b/amdgpu/vega10_asd.bin index 266683d..cee2e56 100644 Binary files a/amdgpu/vega10_asd.bin and b/amdgpu/vega10_asd.bin differ diff --git a/amdgpu/vega10_ce.bin b/amdgpu/vega10_ce.bin index 6958529..2cd4e27 100644 Binary files a/amdgpu/vega10_ce.bin and b/amdgpu/vega10_ce.bin differ diff --git a/amdgpu/vega10_me.bin b/amdgpu/vega10_me.bin index e1c831b..ca447c3 100644 Binary files a/amdgpu/vega10_me.bin and b/amdgpu/vega10_me.bin differ diff --git a/amdgpu/vega10_mec.bin b/amdgpu/vega10_mec.bin index fe4b5ec..a7f3f5f 100644 Binary files a/amdgpu/vega10_mec.bin and b/amdgpu/vega10_mec.bin differ diff --git a/amdgpu/vega10_mec2.bin b/amdgpu/vega10_mec2.bin index fe4b5ec..a7f3f5f 100644 Binary files a/amdgpu/vega10_mec2.bin and b/amdgpu/vega10_mec2.bin differ diff --git a/amdgpu/vega10_pfp.bin b/amdgpu/vega10_pfp.bin index a7e1bb0..67b0040 100644 Binary files a/amdgpu/vega10_pfp.bin and b/amdgpu/vega10_pfp.bin differ diff --git a/amdgpu/vega12_asd.bin b/amdgpu/vega12_asd.bin index a871f95..cee2e56 100644 Binary files a/amdgpu/vega12_asd.bin and b/amdgpu/vega12_asd.bin differ diff --git a/amdgpu/vega12_ce.bin b/amdgpu/vega12_ce.bin index d7edabe..c297e03 100644 Binary files a/amdgpu/vega12_ce.bin and b/amdgpu/vega12_ce.bin differ diff --git a/amdgpu/vega12_me.bin b/amdgpu/vega12_me.bin index 781f165..fcea8ab 100644 Binary files a/amdgpu/vega12_me.bin and b/amdgpu/vega12_me.bin differ diff --git a/amdgpu/vega12_mec.bin b/amdgpu/vega12_mec.bin index def0a25..102e4a9 100644 Binary files a/amdgpu/vega12_mec.bin and b/amdgpu/vega12_mec.bin differ diff --git a/amdgpu/vega12_mec2.bin b/amdgpu/vega12_mec2.bin index def0a25..102e4a9 100644 Binary files a/amdgpu/vega12_mec2.bin and b/amdgpu/vega12_mec2.bin differ diff --git a/amdgpu/vega12_pfp.bin b/amdgpu/vega12_pfp.bin index ba5dfc1..4919f52 100644 Binary files a/amdgpu/vega12_pfp.bin and b/amdgpu/vega12_pfp.bin differ diff --git a/amdgpu/vega12_smc.bin b/amdgpu/vega12_smc.bin index 9954ce6..f127bef 100644 Binary files a/amdgpu/vega12_smc.bin and b/amdgpu/vega12_smc.bin differ diff --git a/amdgpu/vega20_asd.bin b/amdgpu/vega20_asd.bin index be2b00b..c5f1323 100644 Binary files a/amdgpu/vega20_asd.bin and b/amdgpu/vega20_asd.bin differ diff --git a/amdgpu/vega20_ce.bin b/amdgpu/vega20_ce.bin index 375adac..a85c4ea 100644 Binary files a/amdgpu/vega20_ce.bin and b/amdgpu/vega20_ce.bin differ diff --git a/amdgpu/vega20_me.bin b/amdgpu/vega20_me.bin index 5d9460f..7c54824 100644 Binary files a/amdgpu/vega20_me.bin and b/amdgpu/vega20_me.bin differ diff --git a/amdgpu/vega20_mec.bin b/amdgpu/vega20_mec.bin index 9e464fc..f2be24d 100644 Binary files a/amdgpu/vega20_mec.bin and b/amdgpu/vega20_mec.bin differ diff --git a/amdgpu/vega20_mec2.bin b/amdgpu/vega20_mec2.bin index 9e464fc..f2be24d 100644 Binary files a/amdgpu/vega20_mec2.bin and b/amdgpu/vega20_mec2.bin differ diff --git a/amdgpu/vega20_pfp.bin b/amdgpu/vega20_pfp.bin index 68c88e6..2ebe676 100644 Binary files a/amdgpu/vega20_pfp.bin and b/amdgpu/vega20_pfp.bin differ diff --git a/amdgpu/vega20_smc.bin b/amdgpu/vega20_smc.bin index 08bf7f6..7140a9b 100644 Binary files a/amdgpu/vega20_smc.bin and b/amdgpu/vega20_smc.bin differ diff --git a/amdgpu/vega20_ta.bin b/amdgpu/vega20_ta.bin index 980dab6..7ee99bb 100644 Binary files a/amdgpu/vega20_ta.bin and b/amdgpu/vega20_ta.bin differ diff --git a/bnx2/bnx2-mips-06-4.6.16.fw b/bnx2/bnx2-mips-06-4.6.16.fw deleted file mode 100644 index 0ff4f5a..0000000 Binary files a/bnx2/bnx2-mips-06-4.6.16.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-06-5.0.0.j3.fw b/bnx2/bnx2-mips-06-5.0.0.j3.fw deleted file mode 100644 index 6804b82..0000000 Binary files a/bnx2/bnx2-mips-06-5.0.0.j3.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-06-5.0.0.j6.fw b/bnx2/bnx2-mips-06-5.0.0.j6.fw deleted file mode 100644 index 637c8bb..0000000 Binary files a/bnx2/bnx2-mips-06-5.0.0.j6.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-06-6.0.15.fw b/bnx2/bnx2-mips-06-6.0.15.fw deleted file mode 100644 index 012c972..0000000 Binary files a/bnx2/bnx2-mips-06-6.0.15.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-06-6.2.1.fw b/bnx2/bnx2-mips-06-6.2.1.fw deleted file mode 100644 index 3c17b89..0000000 Binary files a/bnx2/bnx2-mips-06-6.2.1.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-09-4.6.17.fw b/bnx2/bnx2-mips-09-4.6.17.fw deleted file mode 100644 index 2736b4a..0000000 Binary files a/bnx2/bnx2-mips-09-4.6.17.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-09-5.0.0.j15.fw b/bnx2/bnx2-mips-09-5.0.0.j15.fw deleted file mode 100644 index 7f69b5b..0000000 Binary files a/bnx2/bnx2-mips-09-5.0.0.j15.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-09-5.0.0.j3.fw b/bnx2/bnx2-mips-09-5.0.0.j3.fw deleted file mode 100644 index 6c98988..0000000 Binary files a/bnx2/bnx2-mips-09-5.0.0.j3.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-09-5.0.0.j9.fw b/bnx2/bnx2-mips-09-5.0.0.j9.fw deleted file mode 100644 index 21ab10a..0000000 Binary files a/bnx2/bnx2-mips-09-5.0.0.j9.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-09-6.0.17.fw b/bnx2/bnx2-mips-09-6.0.17.fw deleted file mode 100644 index 1349994..0000000 Binary files a/bnx2/bnx2-mips-09-6.0.17.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-09-6.2.1.fw b/bnx2/bnx2-mips-09-6.2.1.fw deleted file mode 100644 index bd78e3f..0000000 Binary files a/bnx2/bnx2-mips-09-6.2.1.fw and /dev/null differ diff --git a/bnx2/bnx2-mips-09-6.2.1a.fw b/bnx2/bnx2-mips-09-6.2.1a.fw deleted file mode 100644 index 99ac571..0000000 Binary files a/bnx2/bnx2-mips-09-6.2.1a.fw and /dev/null differ diff --git a/bnx2/bnx2-rv2p-06-4.6.16.fw b/bnx2/bnx2-rv2p-06-4.6.16.fw deleted file mode 100644 index bea33c3..0000000 Binary files a/bnx2/bnx2-rv2p-06-4.6.16.fw and /dev/null differ diff --git a/bnx2/bnx2-rv2p-06-5.0.0.j3.fw b/bnx2/bnx2-rv2p-06-5.0.0.j3.fw deleted file mode 100644 index 1f805a1..0000000 Binary files a/bnx2/bnx2-rv2p-06-5.0.0.j3.fw and /dev/null differ diff --git a/bnx2/bnx2-rv2p-09-4.6.15.fw b/bnx2/bnx2-rv2p-09-4.6.15.fw deleted file mode 100644 index bdb5d10..0000000 Binary files a/bnx2/bnx2-rv2p-09-4.6.15.fw and /dev/null differ diff --git a/bnx2/bnx2-rv2p-09-5.0.0.j10.fw b/bnx2/bnx2-rv2p-09-5.0.0.j10.fw deleted file mode 100644 index 2acc5ff..0000000 Binary files a/bnx2/bnx2-rv2p-09-5.0.0.j10.fw and /dev/null differ diff --git a/bnx2/bnx2-rv2p-09-5.0.0.j3.fw b/bnx2/bnx2-rv2p-09-5.0.0.j3.fw deleted file mode 100644 index 82a5de2..0000000 Binary files a/bnx2/bnx2-rv2p-09-5.0.0.j3.fw and /dev/null differ diff --git a/bnx2/bnx2-rv2p-09ax-5.0.0.j10.fw b/bnx2/bnx2-rv2p-09ax-5.0.0.j10.fw deleted file mode 100644 index 0e390ec..0000000 Binary files a/bnx2/bnx2-rv2p-09ax-5.0.0.j10.fw and /dev/null differ diff --git a/bnx2/bnx2-rv2p-09ax-5.0.0.j3.fw b/bnx2/bnx2-rv2p-09ax-5.0.0.j3.fw deleted file mode 100644 index 834c479..0000000 Binary files a/bnx2/bnx2-rv2p-09ax-5.0.0.j3.fw and /dev/null differ diff --git a/bnx2x/bnx2x-e1-7.12.30.0.fw b/bnx2x/bnx2x-e1-7.12.30.0.fw deleted file mode 100644 index 104e795..0000000 Binary files a/bnx2x/bnx2x-e1-7.12.30.0.fw and /dev/null differ diff --git a/bnx2x/bnx2x-e1h-7.12.30.0.fw b/bnx2x/bnx2x-e1h-7.12.30.0.fw deleted file mode 100644 index 3846c58..0000000 Binary files a/bnx2x/bnx2x-e1h-7.12.30.0.fw and /dev/null differ diff --git a/bnx2x/bnx2x-e2-7.12.30.0.fw b/bnx2x/bnx2x-e2-7.12.30.0.fw deleted file mode 100644 index bff81ec..0000000 Binary files a/bnx2x/bnx2x-e2-7.12.30.0.fw and /dev/null differ diff --git a/brcm/brcmfmac43340-sdio.predia-basic.txt b/brcm/brcmfmac43340-sdio.predia-basic.txt new file mode 100644 index 0000000..6037e66 --- /dev/null +++ b/brcm/brcmfmac43340-sdio.predia-basic.txt @@ -0,0 +1,98 @@ +# SPDX-License-Identifier: GPL-2.0+ +# (C) Copyright 2018 Linaro Ltd +# NVRAM config file for the Ampak AP6234 43340 WiFi/BT module found on the +# Predia Basic tablet +aa2g=1 +ag0=255 +boardflags=0x0090201 +boardnum=22 +boardrev=0x1203 +boardtype=0x0653 +btc_flags=71 +btc_params22=8000 +btc_params83=9000 +btc_params84=4500 +btc_params8=15000 +cck2gpo=0x2222 +cckPwrOffset=3 +cckpapden=0 +ccode=X2 +dacrate2xen=1 +devid=0x4386 +dlocalidx5g=70 +dlorange_lowlimit=5 +gain=32 +gain_settle_dly_2g=4 +gain_settle_dly_5g=4 +iqcalidx5g=50 +iqlocalidx5g=40 +loflag=0 +lpbckmode5g=1 +macaddr=00:90:4c:c5:12:38 +manfid=0x2d0 +maxp2ga0=76 +maxp5ga0=68 +maxp5gha0=68 +maxp5gla0=68 +mcs2gpo0=0x5555 +mcs2gpo1=0x5555 +mcs5ghpo0=0x7777 +mcs5ghpo1=0x7777 +mcs5glpo0=0x7777 +mcs5glpo1=0x7777 +mcs5gpo0=0x8888 +mcs5gpo1=0x8888 +nocrc=1 +noise_cal_high_gain_2g=73 +noise_cal_high_gain_5g=73 +noise_cal_nf_substract_val_2g=346 +noise_cal_nf_substract_val_5g=346 +noise_cal_po_2g=-1 +noise_cal_po_40_2g=-1 +noise_cal_po_40_5g=-1 +noise_cal_po_5g=-1 +ofdm2gpo=0x333333333 +ofdm5ghpo=0x66666666 +ofdm5glpo=0x66666666 +ofdm5gpo=0x66666666 +pa0b0=6957 default +pa0b1=-808 +pa0b2=-178 +pa0itssit=0x20 +pa1b0=5372 +pa1b1=-671 +pa1b2=-212 +pa1hib0=5620 +pa1hib1=-663 +pa1hib2=-179 +pa1lob0=5859 +pa1lob1=-693 +pa1lob2=-178 +papden2g=1 +papden5g=1 +prodid=0x0653 +rssisav2g=0x1 +rssisav5g=0x3 +rssismc2g=0x8 +rssismc5g=0x7 +rssismf2g=0xf +rssismf5g=0xf +rxpo5g=0 +sd_gpdc=0 +sd_gpout=4 +sd_gpval=1 +sromrev=3 +swctrlmap_2g=0x00080008,0x00100010,0x00080008,0x011010,0x11f +swctrlmap_5g=0x00040004,0x00020002,0x00040004,0x011010,0x2fe +triso2g=8 +triso5g=8 +tssifloor2g=69 +tssifloor5gh=74 +tssifloor5gl=77 +tssifloor5gm=77 +txalpfbyp=1 +txalpfpu=1 +txiqlopapu2g=0 +txiqlopapu5g=0 +vendid=0x14e4 +xtalfreq=37400 diff --git a/cis/3CCFEM556.cis b/cis/3CCFEM556.cis new file mode 100644 index 0000000..e950230 Binary files /dev/null and b/cis/3CCFEM556.cis differ diff --git a/cis/3CXEM556.cis b/cis/3CXEM556.cis new file mode 100644 index 0000000..52408ac Binary files /dev/null and b/cis/3CXEM556.cis differ diff --git a/cis/COMpad2.cis b/cis/COMpad2.cis new file mode 100644 index 0000000..daaedd7 Binary files /dev/null and b/cis/COMpad2.cis differ diff --git a/cis/COMpad4.cis b/cis/COMpad4.cis new file mode 100644 index 0000000..9ca6f0a Binary files /dev/null and b/cis/COMpad4.cis differ diff --git a/cis/DP83903.cis b/cis/DP83903.cis new file mode 100644 index 0000000..9755300 Binary files /dev/null and b/cis/DP83903.cis differ diff --git a/cis/LA-PCM.cis b/cis/LA-PCM.cis new file mode 100644 index 0000000..f6f1208 Binary files /dev/null and b/cis/LA-PCM.cis differ diff --git a/cis/MT5634ZLX.cis b/cis/MT5634ZLX.cis new file mode 100644 index 0000000..0d59fa4 Binary files /dev/null and b/cis/MT5634ZLX.cis differ diff --git a/cis/NE2K.cis b/cis/NE2K.cis new file mode 100644 index 0000000..6dac8c1 Binary files /dev/null and b/cis/NE2K.cis differ diff --git a/cis/PCMLM28.cis b/cis/PCMLM28.cis new file mode 100644 index 0000000..a35d93e Binary files /dev/null and b/cis/PCMLM28.cis differ diff --git a/cis/PE-200.cis b/cis/PE-200.cis new file mode 100644 index 0000000..266e061 Binary files /dev/null and b/cis/PE-200.cis differ diff --git a/cis/PE520.cis b/cis/PE520.cis new file mode 100644 index 0000000..f34fa91 Binary files /dev/null and b/cis/PE520.cis differ diff --git a/cis/RS-COM-2P.cis b/cis/RS-COM-2P.cis new file mode 100644 index 0000000..f258252 Binary files /dev/null and b/cis/RS-COM-2P.cis differ diff --git a/cis/SW_555_SER.cis b/cis/SW_555_SER.cis new file mode 100644 index 0000000..12f474b Binary files /dev/null and b/cis/SW_555_SER.cis differ diff --git a/cis/SW_7xx_SER.cis b/cis/SW_7xx_SER.cis new file mode 100644 index 0000000..fbfc852 Binary files /dev/null and b/cis/SW_7xx_SER.cis differ diff --git a/cis/SW_8xx_SER.cis b/cis/SW_8xx_SER.cis new file mode 100644 index 0000000..18fd613 Binary files /dev/null and b/cis/SW_8xx_SER.cis differ diff --git a/cis/tamarack.cis b/cis/tamarack.cis new file mode 100644 index 0000000..29c88b8 Binary files /dev/null and b/cis/tamarack.cis differ diff --git a/cmmb_vega_12mhz.inp b/cmmb_vega_12mhz.inp new file mode 100644 index 0000000..dba5390 Binary files /dev/null and b/cmmb_vega_12mhz.inp differ diff --git a/cmmb_venice_12mhz.inp b/cmmb_venice_12mhz.inp new file mode 100644 index 0000000..4bca794 Binary files /dev/null and b/cmmb_venice_12mhz.inp differ diff --git a/cpia2/stv0672_vp4.bin b/cpia2/stv0672_vp4.bin new file mode 100644 index 0000000..8565890 Binary files /dev/null and b/cpia2/stv0672_vp4.bin differ diff --git a/ct2fw-3.2.5.1.bin b/ct2fw-3.2.5.1.bin new file mode 100644 index 0000000..b824aa6 Binary files /dev/null and b/ct2fw-3.2.5.1.bin differ diff --git a/ctefx.bin b/ctefx.bin new file mode 100644 index 0000000..a29458d Binary files /dev/null and b/ctefx.bin differ diff --git a/ctfw-3.2.5.1.bin b/ctfw-3.2.5.1.bin new file mode 100644 index 0000000..beecc9d Binary files /dev/null and b/ctfw-3.2.5.1.bin differ diff --git a/cxgb3/t3fw-7.0.0.bin b/cxgb3/t3fw-7.0.0.bin deleted file mode 100644 index cb48e4a..0000000 Binary files a/cxgb3/t3fw-7.0.0.bin and /dev/null differ diff --git a/cxgb3/t3fw-7.1.0.bin b/cxgb3/t3fw-7.1.0.bin deleted file mode 100644 index f1285ce..0000000 Binary files a/cxgb3/t3fw-7.1.0.bin and /dev/null differ diff --git a/cxgb3/t3fw-7.10.0.bin b/cxgb3/t3fw-7.10.0.bin deleted file mode 100644 index ed5c3b3..0000000 Binary files a/cxgb3/t3fw-7.10.0.bin and /dev/null differ diff --git a/cxgb3/t3fw-7.4.0.bin b/cxgb3/t3fw-7.4.0.bin deleted file mode 100644 index 07d8fc2..0000000 Binary files a/cxgb3/t3fw-7.4.0.bin and /dev/null differ diff --git a/cxgb4/configs/t6-config-default.txt b/cxgb4/configs/t6-config-default.txt index 2d1d777..9ed8af3 100644 --- a/cxgb4/configs/t6-config-default.txt +++ b/cxgb4/configs/t6-config-default.txt @@ -110,7 +110,8 @@ sge_dbq_timer = 3, 2, 1, 5, 7, 9, 12, 16 # enable TP_OUT_CONFIG.IPIDSPLITMODE - reg[0x7d04] = 0x00010000/0x00010000 + # Set TP_OUT_CONFIG.CCplAckMode to get srtt/rttvar + reg[0x7d04] = 0x00012000/0x00012000 reg[0x7dc0] = 0x0e2f8849 # TP_SHIFT_CNT @@ -200,6 +201,9 @@ hma_size = 92 # Size (in MBs) of host memory expected hma_regions = stag,pbl,rq # What all regions to place in host memory + #enable bottleneck-bw congestion control mode + #ofld_flags = 4 + # Some "definitions" to make the rest of this a bit more readable. We support # 4 ports, 3 functions (NIC, FCoE and iSCSI), scaling up to 8 "CPU Queue Sets" # per function per port ... @@ -588,7 +592,7 @@ [fini] version = 0x1425001d - checksum = 0xbcf115d + checksum = 0xa1403d73 # Total resources used by above allocations: # Virtual Interfaces: 104 diff --git a/cxgb4/t4fw-1.24.17.0.bin b/cxgb4/t4fw-1.24.17.0.bin deleted file mode 100644 index 5d9f051..0000000 Binary files a/cxgb4/t4fw-1.24.17.0.bin and /dev/null differ diff --git a/cxgb4/t4fw-1.25.6.0.bin b/cxgb4/t4fw-1.25.6.0.bin new file mode 100644 index 0000000..45e8051 Binary files /dev/null and b/cxgb4/t4fw-1.25.6.0.bin differ diff --git a/cxgb4/t4fw.bin b/cxgb4/t4fw.bin index 287558b..fa813c2 120000 --- a/cxgb4/t4fw.bin +++ b/cxgb4/t4fw.bin @@ -1 +1 @@ -t4fw-1.24.17.0.bin \ No newline at end of file +t4fw-1.25.6.0.bin \ No newline at end of file diff --git a/cxgb4/t5fw-1.24.17.0.bin b/cxgb4/t5fw-1.24.17.0.bin deleted file mode 100644 index 5b923ba..0000000 Binary files a/cxgb4/t5fw-1.24.17.0.bin and /dev/null differ diff --git a/cxgb4/t5fw-1.25.6.0.bin b/cxgb4/t5fw-1.25.6.0.bin new file mode 100644 index 0000000..6c2d137 Binary files /dev/null and b/cxgb4/t5fw-1.25.6.0.bin differ diff --git a/cxgb4/t5fw.bin b/cxgb4/t5fw.bin index f5340f2..d2b33e3 120000 --- a/cxgb4/t5fw.bin +++ b/cxgb4/t5fw.bin @@ -1 +1 @@ -t5fw-1.24.17.0.bin \ No newline at end of file +t5fw-1.25.6.0.bin \ No newline at end of file diff --git a/cxgb4/t6fw-1.24.17.0.bin b/cxgb4/t6fw-1.24.17.0.bin deleted file mode 100644 index e7edc85..0000000 Binary files a/cxgb4/t6fw-1.24.17.0.bin and /dev/null differ diff --git a/cxgb4/t6fw-1.25.6.0.bin b/cxgb4/t6fw-1.25.6.0.bin new file mode 100644 index 0000000..1cf0556 Binary files /dev/null and b/cxgb4/t6fw-1.25.6.0.bin differ diff --git a/cxgb4/t6fw.bin b/cxgb4/t6fw.bin index 70f474c..fb6d062 120000 --- a/cxgb4/t6fw.bin +++ b/cxgb4/t6fw.bin @@ -1 +1 @@ -t6fw-1.24.17.0.bin \ No newline at end of file +t6fw-1.25.6.0.bin \ No newline at end of file diff --git a/cypress/cyfmac43012-sdio.bin b/cypress/cyfmac43012-sdio.bin index 062e5f4..7a78e15 100644 Binary files a/cypress/cyfmac43012-sdio.bin and b/cypress/cyfmac43012-sdio.bin differ diff --git a/cypress/cyfmac43012-sdio.clm_blob b/cypress/cyfmac43012-sdio.clm_blob index 6f87083..59f09f6 100644 Binary files a/cypress/cyfmac43012-sdio.clm_blob and b/cypress/cyfmac43012-sdio.clm_blob differ diff --git a/cypress/cyfmac43340-sdio.bin b/cypress/cyfmac43340-sdio.bin index f9aba08..dcf894b 100644 Binary files a/cypress/cyfmac43340-sdio.bin and b/cypress/cyfmac43340-sdio.bin differ diff --git a/cypress/cyfmac43430-sdio.bin b/cypress/cyfmac43430-sdio.bin index bb9b197..f3043f8 100644 Binary files a/cypress/cyfmac43430-sdio.bin and b/cypress/cyfmac43430-sdio.bin differ diff --git a/cypress/cyfmac43430-sdio.clm_blob b/cypress/cyfmac43430-sdio.clm_blob index 69a3e57..d93449f 100644 Binary files a/cypress/cyfmac43430-sdio.clm_blob and b/cypress/cyfmac43430-sdio.clm_blob differ diff --git a/cypress/cyfmac43455-sdio.bin b/cypress/cyfmac43455-sdio.bin index 27a2698..2b241ea 100644 Binary files a/cypress/cyfmac43455-sdio.bin and b/cypress/cyfmac43455-sdio.bin differ diff --git a/cypress/cyfmac43455-sdio.clm_blob b/cypress/cyfmac43455-sdio.clm_blob index 2fb9afc..4cf6d31 100644 Binary files a/cypress/cyfmac43455-sdio.clm_blob and b/cypress/cyfmac43455-sdio.clm_blob differ diff --git a/cypress/cyfmac4354-sdio.bin b/cypress/cyfmac4354-sdio.bin index 07df655..1e123ba 100644 Binary files a/cypress/cyfmac4354-sdio.bin and b/cypress/cyfmac4354-sdio.bin differ diff --git a/cypress/cyfmac4354-sdio.clm_blob b/cypress/cyfmac4354-sdio.clm_blob index 7e5db31..86d66e3 100644 Binary files a/cypress/cyfmac4354-sdio.clm_blob and b/cypress/cyfmac4354-sdio.clm_blob differ diff --git a/cypress/cyfmac4356-sdio.bin b/cypress/cyfmac4356-sdio.bin index 2fa4143..63896b6 100644 Binary files a/cypress/cyfmac4356-sdio.bin and b/cypress/cyfmac4356-sdio.bin differ diff --git a/cypress/cyfmac4356-sdio.clm_blob b/cypress/cyfmac4356-sdio.clm_blob index edfe247..35ce375 100644 Binary files a/cypress/cyfmac4356-sdio.clm_blob and b/cypress/cyfmac4356-sdio.clm_blob differ diff --git a/cypress/cyfmac43570-pcie.bin b/cypress/cyfmac43570-pcie.bin index 4d2dd7b..97898b5 100644 Binary files a/cypress/cyfmac43570-pcie.bin and b/cypress/cyfmac43570-pcie.bin differ diff --git a/cypress/cyfmac43570-pcie.clm_blob b/cypress/cyfmac43570-pcie.clm_blob index 7ea2e26..f14fd92 100644 Binary files a/cypress/cyfmac43570-pcie.clm_blob and b/cypress/cyfmac43570-pcie.clm_blob differ diff --git a/cypress/cyfmac4373-sdio.bin b/cypress/cyfmac4373-sdio.bin index 569238b..00ecde0 100644 Binary files a/cypress/cyfmac4373-sdio.bin and b/cypress/cyfmac4373-sdio.bin differ diff --git a/cypress/cyfmac4373-sdio.clm_blob b/cypress/cyfmac4373-sdio.clm_blob index acaa40c..9d02997 100644 Binary files a/cypress/cyfmac4373-sdio.clm_blob and b/cypress/cyfmac4373-sdio.clm_blob differ diff --git a/cypress/cyfmac54591-pcie.bin b/cypress/cyfmac54591-pcie.bin index 10a2a83..70ef9f9 100644 Binary files a/cypress/cyfmac54591-pcie.bin and b/cypress/cyfmac54591-pcie.bin differ diff --git a/cypress/cyfmac54591-pcie.clm_blob b/cypress/cyfmac54591-pcie.clm_blob index de4d343..61f0d56 100644 Binary files a/cypress/cyfmac54591-pcie.clm_blob and b/cypress/cyfmac54591-pcie.clm_blob differ diff --git a/intel/ibt-12-16.sfi b/intel/ibt-12-16.sfi index 668d4a6..19ad630 100644 Binary files a/intel/ibt-12-16.sfi and b/intel/ibt-12-16.sfi differ diff --git a/intel/ibt-18-2.sfi b/intel/ibt-18-2.sfi index 17f4841..eccd20a 100644 Binary files a/intel/ibt-18-2.sfi and b/intel/ibt-18-2.sfi differ diff --git a/intel/ibt-19-32-4.sfi b/intel/ibt-19-32-4.sfi index 25aa6cd..ba2cc31 100644 Binary files a/intel/ibt-19-32-4.sfi and b/intel/ibt-19-32-4.sfi differ diff --git a/intel/ibt-20-0-3.sfi b/intel/ibt-20-0-3.sfi index 9b23f3b..aa734f5 100644 Binary files a/intel/ibt-20-0-3.sfi and b/intel/ibt-20-0-3.sfi differ diff --git a/intel/ibt-20-1-3.sfi b/intel/ibt-20-1-3.sfi index 8c615b8..fd139f9 100644 Binary files a/intel/ibt-20-1-3.sfi and b/intel/ibt-20-1-3.sfi differ diff --git a/intel/ibt-20-1-4.sfi b/intel/ibt-20-1-4.sfi index d7c66d1..2616d3d 100644 Binary files a/intel/ibt-20-1-4.sfi and b/intel/ibt-20-1-4.sfi differ diff --git a/intel/ibt-hw-37.8.10-fw-22.50.19.14.f.bseq b/intel/ibt-hw-37.8.10-fw-22.50.19.14.f.bseq index 903cd32..263296c 100644 Binary files a/intel/ibt-hw-37.8.10-fw-22.50.19.14.f.bseq and b/intel/ibt-hw-37.8.10-fw-22.50.19.14.f.bseq differ diff --git a/iwlwifi-7265D-29.ucode b/iwlwifi-7265D-29.ucode index 148d0b0..7c513f6 100644 Binary files a/iwlwifi-7265D-29.ucode and b/iwlwifi-7265D-29.ucode differ diff --git a/iwlwifi-8000C-36.ucode b/iwlwifi-8000C-36.ucode index 70599a7..e4988f6 100644 Binary files a/iwlwifi-8000C-36.ucode and b/iwlwifi-8000C-36.ucode differ diff --git a/iwlwifi-8265-36.ucode b/iwlwifi-8265-36.ucode index 3dd7ead..60fda3b 100644 Binary files a/iwlwifi-8265-36.ucode and b/iwlwifi-8265-36.ucode differ diff --git a/iwlwifi-Qu-b0-hr-b0-48.ucode b/iwlwifi-Qu-b0-hr-b0-48.ucode deleted file mode 100644 index a68eb78..0000000 Binary files a/iwlwifi-Qu-b0-hr-b0-48.ucode and /dev/null differ diff --git a/iwlwifi-Qu-b0-jf-b0-48.ucode b/iwlwifi-Qu-b0-jf-b0-48.ucode deleted file mode 100644 index 31bb510..0000000 Binary files a/iwlwifi-Qu-b0-jf-b0-48.ucode and /dev/null differ diff --git a/iwlwifi-Qu-c0-hr-b0-48.ucode b/iwlwifi-Qu-c0-hr-b0-48.ucode deleted file mode 100644 index 0001481..0000000 Binary files a/iwlwifi-Qu-c0-hr-b0-48.ucode and /dev/null differ diff --git a/iwlwifi-Qu-c0-jf-b0-48.ucode b/iwlwifi-Qu-c0-jf-b0-48.ucode deleted file mode 100644 index 158d595..0000000 Binary files a/iwlwifi-Qu-c0-jf-b0-48.ucode and /dev/null differ diff --git a/iwlwifi-QuZ-a0-hr-b0-48.ucode b/iwlwifi-QuZ-a0-hr-b0-48.ucode deleted file mode 100644 index 3a0c1e2..0000000 Binary files a/iwlwifi-QuZ-a0-hr-b0-48.ucode and /dev/null differ diff --git a/iwlwifi-QuZ-a0-jf-b0-48.ucode b/iwlwifi-QuZ-a0-jf-b0-48.ucode deleted file mode 100644 index 4d29fce..0000000 Binary files a/iwlwifi-QuZ-a0-jf-b0-48.ucode and /dev/null differ diff --git a/mediatek/mt7610u.bin b/mediatek/mt7610u.bin new file mode 100644 index 0000000..c6c863e Binary files /dev/null and b/mediatek/mt7610u.bin differ diff --git a/mediatek/mt7662u.bin b/mediatek/mt7662u.bin new file mode 100644 index 0000000..93c8a79 Binary files /dev/null and b/mediatek/mt7662u.bin differ diff --git a/mediatek/mt7662u_rom_patch.bin b/mediatek/mt7662u_rom_patch.bin new file mode 100644 index 0000000..2213b2a Binary files /dev/null and b/mediatek/mt7662u_rom_patch.bin differ diff --git a/moxa/moxa-1110.fw b/moxa/moxa-1110.fw new file mode 100644 index 0000000..b7d941c Binary files /dev/null and b/moxa/moxa-1110.fw differ diff --git a/moxa/moxa-1130.fw b/moxa/moxa-1130.fw new file mode 100644 index 0000000..62d44c1 Binary files /dev/null and b/moxa/moxa-1130.fw differ diff --git a/moxa/moxa-1131.fw b/moxa/moxa-1131.fw new file mode 100644 index 0000000..bbba5a0 Binary files /dev/null and b/moxa/moxa-1131.fw differ diff --git a/moxa/moxa-1150.fw b/moxa/moxa-1150.fw new file mode 100644 index 0000000..a4a406d Binary files /dev/null and b/moxa/moxa-1150.fw differ diff --git a/moxa/moxa-1151.fw b/moxa/moxa-1151.fw new file mode 100644 index 0000000..f3bfbe2 Binary files /dev/null and b/moxa/moxa-1151.fw differ diff --git a/mt7662.bin b/mt7662.bin new file mode 100644 index 0000000..26c6fbb Binary files /dev/null and b/mt7662.bin differ diff --git a/mt7662_rom_patch.bin b/mt7662_rom_patch.bin new file mode 100644 index 0000000..10b245e Binary files /dev/null and b/mt7662_rom_patch.bin differ diff --git a/qat_895xcc.bin b/qat_895xcc.bin new file mode 100644 index 0000000..b40406f Binary files /dev/null and b/qat_895xcc.bin differ diff --git a/qat_895xcc_mmp.bin b/qat_895xcc_mmp.bin new file mode 100644 index 0000000..b0b3e7d Binary files /dev/null and b/qat_895xcc_mmp.bin differ diff --git a/qat_c3xxx.bin b/qat_c3xxx.bin new file mode 100644 index 0000000..0c8a4df Binary files /dev/null and b/qat_c3xxx.bin differ diff --git a/qat_c3xxx_mmp.bin b/qat_c3xxx_mmp.bin new file mode 100644 index 0000000..d5a2ab4 Binary files /dev/null and b/qat_c3xxx_mmp.bin differ diff --git a/qat_c62x.bin b/qat_c62x.bin new file mode 100644 index 0000000..8b46e6d Binary files /dev/null and b/qat_c62x.bin differ diff --git a/qat_c62x_mmp.bin b/qat_c62x_mmp.bin new file mode 100644 index 0000000..47da6aa Binary files /dev/null and b/qat_c62x_mmp.bin differ diff --git a/qat_mmp.bin b/qat_mmp.bin new file mode 120000 index 0000000..c7f8851 --- /dev/null +++ b/qat_mmp.bin @@ -0,0 +1 @@ +qat_895xcc_mmp.bin \ No newline at end of file diff --git a/qca/nvm_usb_00000201.bin b/qca/nvm_usb_00000201.bin deleted file mode 100644 index caff732..0000000 Binary files a/qca/nvm_usb_00000201.bin and /dev/null differ diff --git a/qca/nvm_usb_00000201.bin b/qca/nvm_usb_00000201.bin new file mode 120000 index 0000000..eb8f7f5 --- /dev/null +++ b/qca/nvm_usb_00000201.bin @@ -0,0 +1 @@ +nvm_usb_00000200.bin \ No newline at end of file diff --git a/qca/nvm_usb_00000302.bin b/qca/nvm_usb_00000302.bin index 9c42b3a..0b7698d 100644 Binary files a/qca/nvm_usb_00000302.bin and b/qca/nvm_usb_00000302.bin differ diff --git a/qca/nvm_usb_00130200.bin b/qca/nvm_usb_00130200.bin new file mode 120000 index 0000000..2ad7faf --- /dev/null +++ b/qca/nvm_usb_00130200.bin @@ -0,0 +1 @@ +nvm_usb_00130200_0106.bin \ No newline at end of file diff --git a/qca/nvm_usb_00130200_0104.bin b/qca/nvm_usb_00130200_0104.bin new file mode 100644 index 0000000..fca8250 Binary files /dev/null and b/qca/nvm_usb_00130200_0104.bin differ diff --git a/qca/nvm_usb_00130200_0105.bin b/qca/nvm_usb_00130200_0105.bin new file mode 120000 index 0000000..1f21246 --- /dev/null +++ b/qca/nvm_usb_00130200_0105.bin @@ -0,0 +1 @@ +nvm_usb_00130200_0104.bin \ No newline at end of file diff --git a/qca/nvm_usb_00130200_0106.bin b/qca/nvm_usb_00130200_0106.bin new file mode 100644 index 0000000..4d3d32e Binary files /dev/null and b/qca/nvm_usb_00130200_0106.bin differ diff --git a/qca/nvm_usb_00130200_0107.bin b/qca/nvm_usb_00130200_0107.bin new file mode 100644 index 0000000..3960faa Binary files /dev/null and b/qca/nvm_usb_00130200_0107.bin differ diff --git a/qca/nvm_usb_00130200_0110.bin b/qca/nvm_usb_00130200_0110.bin new file mode 120000 index 0000000..1f21246 --- /dev/null +++ b/qca/nvm_usb_00130200_0110.bin @@ -0,0 +1 @@ +nvm_usb_00130200_0104.bin \ No newline at end of file diff --git a/qca/rampatch_usb_00000201.bin b/qca/rampatch_usb_00000201.bin deleted file mode 100644 index d85d16a..0000000 Binary files a/qca/rampatch_usb_00000201.bin and /dev/null differ diff --git a/qca/rampatch_usb_00000201.bin b/qca/rampatch_usb_00000201.bin new file mode 120000 index 0000000..4405698 --- /dev/null +++ b/qca/rampatch_usb_00000201.bin @@ -0,0 +1 @@ +rampatch_usb_00000200.bin \ No newline at end of file diff --git a/qca/rampatch_usb_00000302.bin b/qca/rampatch_usb_00000302.bin index d340a8b..ae7d1ed 100644 Binary files a/qca/rampatch_usb_00000302.bin and b/qca/rampatch_usb_00000302.bin differ diff --git a/qca/rampatch_usb_00130200.bin b/qca/rampatch_usb_00130200.bin new file mode 100644 index 0000000..61901dc Binary files /dev/null and b/qca/rampatch_usb_00130200.bin differ diff --git a/qed/qed_init_values_zipped-8.20.0.0.bin b/qed/qed_init_values_zipped-8.20.0.0.bin deleted file mode 100644 index 19af8b8..0000000 Binary files a/qed/qed_init_values_zipped-8.20.0.0.bin and /dev/null differ diff --git a/radeon/oland_rlc.bin b/radeon/oland_rlc.bin index eb6ac49..bf3f86f 100644 Binary files a/radeon/oland_rlc.bin and b/radeon/oland_rlc.bin differ diff --git a/rtl_bt/rtl8723bs_config-OBDA0623.bin b/rtl_bt/rtl8723bs_config-OBDA0623.bin new file mode 120000 index 0000000..3e2f880 --- /dev/null +++ b/rtl_bt/rtl8723bs_config-OBDA0623.bin @@ -0,0 +1 @@ +rtl8723bs_config-OBDA8723.bin \ No newline at end of file diff --git a/rtl_bt/rtl8723bs_config-OBDA8723.bin b/rtl_bt/rtl8723bs_config-OBDA8723.bin index 8ba309c..c20970a 100644 Binary files a/rtl_bt/rtl8723bs_config-OBDA8723.bin and b/rtl_bt/rtl8723bs_config-OBDA8723.bin differ diff --git a/rtl_bt/rtl8723bs_fw.bin b/rtl_bt/rtl8723bs_fw.bin index b73ad83..5f77f80 100644 Binary files a/rtl_bt/rtl8723bs_fw.bin and b/rtl_bt/rtl8723bs_fw.bin differ diff --git a/rtl_bt/rtl8821a_config.bin b/rtl_bt/rtl8821a_config.bin new file mode 120000 index 0000000..5e12b03 --- /dev/null +++ b/rtl_bt/rtl8821a_config.bin @@ -0,0 +1 @@ +rtl8821c_config.bin \ No newline at end of file