OSDN Git Service

works
[fpga-leon-mjpeg/leon-mjpeg.git] / grlib-gpl-1.0.22-b4095 / designs / work_ip / bus_huff.vhd.bak
index 7de95ca..c76248d 100644 (file)
@@ -42,17 +42,21 @@ architecture rtl of bus_huff is
   signal kstrobe : std_logic;
   signal kdata : std_logic_vector(11 downto 0);
   signal kaddress : std_logic_vector(5 downto 0); 
-  signal samp_fact : std_logic;
+--  signal samp_fact : std_logic;
   signal error : std_logic_vector(2 downto 0);
-  signal xmcumax : std_logic_vector(5 downto 0);
-  signal ymcumax : std_logic_vector(4 downto 0);
-  signal incaddy : std_logic_vector(15 downto 0);
-  signal incaddmcux : std_logic_vector(15 downto 0);
-  signal incaddmcuy : std_logic_vector(10 downto 0);
-  signal fbstartadd : std_logic_vector(31 downto 0);
+--  signal xmcumax : std_logic_vector(5 downto 0);
+--  signal ymcumax : std_logic_vector(4 downto 0);
+--  signal incaddy : std_logic_vector(15 downto 0);
+--  signal incaddmcux : std_logic_vector(15 downto 0);
+--  signal incaddmcuy : std_logic_vector(10 downto 0);
+--  signal fbstartadd : std_logic_vector(31 downto 0);
+  signal jpg_setting : jpg_set_type;
   signal startgen : std_logic;
   signal kstrobeq : std_logic;
-  signal kdataq : std_logic_vector(7 downto 0);  
+  signal kdataq : std_logic_vector(7 downto 0);
+  signal kaddq : std_logic_vector(7 downto 0);
+  signal krddataq : std_logic_vector(7 downto 0);
+  signal krdq : std_logic;  
 --  signal rst : std_ulogic;
 
   signal r,rin : control_reg;
@@ -65,7 +69,7 @@ begin  -- rtl
   huffinst : huff
      generic map(shindex => 2, haddr => 16#900#, pindex => 2, paddr => 2, mhindex => 3, hirq => 2)
      port map (rstn, clk, ahbsi, ahbso(2), apbi, apbo(2), kready, kstrobe, kdata,
-                kaddress, samp_fact, error, xmcumax, ymcumax, incaddy, incaddmcux, incaddmcuy, fbstartadd, startgen, kstrobeq, kdataq);         
+                kaddress, jpg_setting, error, startgen, kstrobeq, kdataq, kaddq, krddataq, krdq);         
   data <= kdata;
   add <= kaddress;
   effect <= kstrobe;