kstrobe2 : out std_logic;
kdata2 : out std_logic_vector(11 downto 0);
error : out std_logic;
-
samp_fact : in std_logic;
kstrobeq1 : in std_logic;
kdataq1 : in std_logic_vector(7 downto 0);
- kdataq2 : out std_logic_vector(7 downto 0);
-
+ kdataq2 : out std_logic_vector(7 downto 0);
kaddq : in std_logic_vector(7 downto 0);
krdq : in std_logic;
- krddataq : out std_logic_vector(7 downto 0)
+ krddataq : out std_logic_vector(7 downto 0);
+ startgen : in std_logic
);
end component;
kready2 : in std_logic;
kstrobe2 : out std_logic;
kdata2 : out std_logic_vector(15 downto 0);
- error : out std_logic
+ error : out std_logic;
+ startgen : in std_logic
);
end component;
quantin : in std_logic_vector (7 downto 0);
outdata : out std_logic_vector (15 downto 0);
ready2 : in std_logic;
- strobe2 : out std_logic
+ strobe2 : out std_logic;
+ startgen : in std_logic
);
end component;
coeffin : in std_logic_vector (15 downto 0);
outdata : out std_logic_vector (7 downto 0);
ready2 : in std_logic;
- strobe2 : out std_logic
+ strobe2 : out std_logic;
+ startgen : in std_logic
);
end component;
kstrobe2 : out std_logic;
kdata2 : out std_logic_vector(23 downto 0);
samp_fact : in std_logic;
- error : out std_logic
+ error : out std_logic;
+ startgen : in std_logic
);
end component;