OSDN Git Service

まちBBSで削除レスがあるレス番号とスレの件数がずれる不具合を修正
[gikonavigoeson/gikonavi.git] / Round.dfm
index bc05a86..7eb9ac2 100644 (file)
--- a/Round.dfm
+++ b/Round.dfm
@@ -13,14 +13,13 @@ object RoundDialog: TRoundDialog
   Font.Style = []
   FormStyle = fsStayOnTop
   OldCreateOrder = False
-  Position = poOwnerFormCenter
   OnCreate = FormCreate
   PixelsPerInch = 96
   TextHeight = 12
   object Panel1: TPanel
     Left = 0
     Top = 0
-    Width = 592
+    Width = 584
     Height = 49
     Align = alTop
     BevelOuter = bvNone
@@ -54,8 +53,8 @@ object RoundDialog: TRoundDialog
   object Panel2: TPanel
     Left = 0
     Top = 49
-    Width = 592
-    Height = 314
+    Width = 584
+    Height = 303
     Align = alClient
     BevelOuter = bvNone
     BorderWidth = 4
@@ -63,8 +62,8 @@ object RoundDialog: TRoundDialog
     object RoundListView: TListView
       Left = 4
       Top = 4
-      Width = 584
-      Height = 306
+      Width = 576
+      Height = 295
       Align = alClient
       Checkboxes = True
       Columns = <
@@ -94,8 +93,8 @@ object RoundDialog: TRoundDialog
   end
   object Panel3: TPanel
     Left = 0
-    Top = 363
-    Width = 592
+    Top = 352
+    Width = 584
     Height = 37
     Align = alBottom
     BevelOuter = bvNone
@@ -128,7 +127,7 @@ object RoundDialog: TRoundDialog
       OnClick = RoundDeleteButtonClick
     end
     object Panel4: TPanel
-      Left = 384
+      Left = 376
       Top = 0
       Width = 208
       Height = 37
@@ -145,7 +144,6 @@ object RoundDialog: TRoundDialog
             Bevel = pbNone
             Width = 0
           end>
-        SimplePanel = False
       end
       object Button1: TButton
         Left = 104
@@ -190,7 +188,7 @@ object RoundDialog: TRoundDialog
     Top = 4
     Bitmap = {
       494C010104000900040010001000FFFFFFFFFF10FFFFFFFFFFFFFFFF424D3600
-      0000000000003600000028000000400000003000000001001000000000000018
+      0000000000003600000028000000400000003000000001002000000000000030
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
@@ -324,64 +322,256 @@ object RoundDialog: TRoundDialog
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000010421863186318631863
-      1863186318631863186318631863000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000010421042104210421042
-      104210421042104210421042000000000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7FFF7FFF7F1863000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      000000000000000000000000000000000000000000001042FF7F1863E07F1863
-      E07F1863E07F1863E07F1042000000000000000000001042FF7F104210421042
-      10421042104210421042FF7F1863000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      00000000000000000000000000000000000000001042FF7F1863E07F1863E07F
-      1863E07F1863E07F18630000104200000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7FFF7FFF7F186300000000000000000000FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7F000000000000000000000000000000000000000000001042
-      FF7F0000000000000000000000000000000010421042FF7FE07F1863E07F1863
-      E07F1863E07F186310420000000000000000000000001042FF7F104210421042
-      10421042104210421042FF7F1863000000000000000000001042104200000000
-      00000000FF7FFF7F000000000000000000000000000000000000000010421042
-      FF7FFF7F00000000000000000000000000001042FF7FE07F1863E07F1863E07F
-      1863E07F1863E07F00001863186300000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7FFF7FFF7F1863000000000000000000000000104200000000
-      00000000FF7F0000000000000000000000000000000000000000000010420000
-      0000FF7F00000000000000000000000000001042FF7FFF7FFF7FFF7FFF7FFF7F
-      FF7FFF7FFF7F104200001F00FF7F18630000000000001042FF7F104210421042
-      10421042104210421042FF7F1863000000000000000000000000104210420000
-      0000FF7FFF7F0000000000000000000000000000000000000000104210420000
-      0000FF7FFF7F0000000000000000000000000000104210421042104210421042
-      10421042104210421042FF7FFF7F00000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7FFF7FFF7F1863000000000000000000000000000010420000
-      0000FF7F00000000000000000000000000000000000000000000104200000000
-      00000000FF7F00000000000000000000000000001042FF7FE07F18631042FF7F
-      FF7F1F0018631F00FF7FFF7F000000000000000000001042FF7F104210421042
-      10421042104210421042FF7F1863000000000000000000000000000010421042
-      FF7FFF7F00000000000000000000000000000000000000001042104200000000
-      00000000FF7FFF7F0000000000000000000000001042FF7F1863E07F18631042
-      FF7FFF7F1F00FF7FFF7F0000104200000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7FFF7FFF7F1863000000000000000000000000000000001042
-      FF7F000000000000000000000000000000000000000000001042104210421042
-      10421042104210420000000000000000000000001042FF7FE07F1863E07F1863
-      1042FF7FFF7FFF7F00001042104200000000000000001042FF7F104210421042
-      10421042104210421042FF7F1863000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      000000000000000000000000000000000000000000001042FF7FFF7FFF7FFF7F
-      10421042FF7F000000000000000000000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7F000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000000001042104210421042
-      000000000000000000000000000000000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7F1863FF7F1042000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      000000000000000000000000000000000000000000001042FF7FFF7FFF7FFF7F
-      FF7FFF7FFF7FFF7F186310420000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
-      0000000000000000000000000000000000000000000010421042104210421042
-      1042104210421042104200000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000000000000000000000000000000000000000000084848400C6C6
+      C600C6C6C600C6C6C600C6C6C600C6C6C600C6C6C600C6C6C600C6C6C600C6C6
+      C600C6C6C600C6C6C60000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000848484008484
+      8400848484008484840084848400848484008484840084848400848484008484
+      840084848400000000000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFF
+      FF00FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000000000000000000000000000000000000000000084848400FFFF
+      FF00C6C6C60000FFFF00C6C6C60000FFFF00C6C6C60000FFFF00C6C6C60000FF
+      FF0084848400000000000000000000000000000000000000000084848400FFFF
+      FF00848484008484840084848400848484008484840084848400848484008484
+      8400FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000084848400FFFFFF00C6C6
+      C60000FFFF00C6C6C60000FFFF00C6C6C60000FFFF00C6C6C60000FFFF00C6C6
+      C60000000000848484000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFF
+      FF00FFFFFF00C6C6C6000000000000000000000000000000000000000000FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000084848400FFFFFF000000000000000000000000000000
+      0000000000000000000000000000000000008484840084848400FFFFFF0000FF
+      FF00C6C6C60000FFFF00C6C6C60000FFFF00C6C6C60000FFFF00C6C6C6008484
+      840000000000000000000000000000000000000000000000000084848400FFFF
+      FF00848484008484840084848400848484008484840084848400848484008484
+      8400FFFFFF00C6C6C60000000000000000000000000000000000000000008484
+      84008484840000000000000000000000000000000000FFFFFF00FFFFFF000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000008484840084848400FFFFFF00FFFFFF0000000000000000000000
+      00000000000000000000000000000000000084848400FFFFFF0000FFFF00C6C6
+      C60000FFFF00C6C6C60000FFFF00C6C6C60000FFFF00C6C6C60000FFFF000000
+      0000C6C6C600C6C6C6000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFF
+      FF00FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      00008484840000000000000000000000000000000000FFFFFF00000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000848484000000000000000000FFFFFF0000000000000000000000
+      00000000000000000000000000000000000084848400FFFFFF00FFFFFF00FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00848484000000
+      0000FF000000FFFFFF00C6C6C60000000000000000000000000084848400FFFF
+      FF00848484008484840084848400848484008484840084848400848484008484
+      8400FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      000084848400848484000000000000000000FFFFFF00FFFFFF00000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      000084848400848484000000000000000000FFFFFF00FFFFFF00000000000000
+      0000000000000000000000000000000000000000000084848400848484008484
+      8400848484008484840084848400848484008484840084848400848484008484
+      8400FFFFFF00FFFFFF000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFF
+      FF00FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      000000000000848484000000000000000000FFFFFF0000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      00008484840000000000000000000000000000000000FFFFFF00000000000000
+      0000000000000000000000000000000000000000000084848400FFFFFF0000FF
+      FF00C6C6C60084848400FFFFFF00FFFFFF00FF000000C6C6C600FF000000FFFF
+      FF00FFFFFF00000000000000000000000000000000000000000084848400FFFF
+      FF00848484008484840084848400848484008484840084848400848484008484
+      8400FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      0000000000008484840084848400FFFFFF00FFFFFF0000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000008484
+      84008484840000000000000000000000000000000000FFFFFF00FFFFFF000000
+      0000000000000000000000000000000000000000000084848400FFFFFF00C6C6
+      C60000FFFF00C6C6C60084848400FFFFFF00FFFFFF00FF000000FFFFFF00FFFF
+      FF0000000000848484000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFF
+      FF00FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      0000000000000000000084848400FFFFFF000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000008484
+      8400848484008484840084848400848484008484840084848400848484000000
+      0000000000000000000000000000000000000000000084848400FFFFFF0000FF
+      FF00C6C6C60000FFFF00C6C6C60084848400FFFFFF00FFFFFF00FFFFFF000000
+      000084848400848484000000000000000000000000000000000084848400FFFF
+      FF00848484008484840084848400848484008484840084848400848484008484
+      8400FFFFFF00C6C6C60000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000000000000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF008484840084848400FFFFFF00000000000000
+      000000000000000000000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000008484
+      8400848484008484840084848400000000000000000000000000000000000000
+      000000000000000000000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00C6C6
+      C600FFFFFF008484840000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      000000000000000000000000000000000000000000000000000084848400FFFF
+      FF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00FFFFFF00C6C6
+      C600848484000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000848484008484
+      8400848484008484840084848400848484008484840084848400848484008484
+      8400000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
+      0000000000000000000000000000000000000000000000000000000000000000
       0000000000000000000000000000000000000000000000000000000000000000
       000000000000000000000000000000000000424D3E000000000000003E000000
       2800000040000000300000000100010000000000800100000000000000000000