From f187b3ac5e593f45527b25411c6019b659642d6d Mon Sep 17 00:00:00 2001 From: astoria-d Date: Sat, 24 Sep 2016 15:43:36 +0900 Subject: [PATCH] nmi not generated. investigate... --- .../de0-cv-debug-analyze-all_auto_stripped.stp | 1420 +++++++++----------- de0_cv_nes/de0_cv_nes.qsf | 860 ++++++------ doc/debug-work.xlsx | Bin 1838877 -> 1984528 bytes 3 files changed, 1001 insertions(+), 1279 deletions(-) diff --git a/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp b/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp index 9613e2a..d8a076a 100644 --- a/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp +++ b/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp @@ -1,23 +1,15 @@ - + - + - + - + - - - - - - - - @@ -58,14 +50,6 @@ - - - - - - - - @@ -74,22 +58,6 @@ - - - - - - - - - - - - - - - - @@ -238,14 +206,6 @@ - - - - - - - - @@ -286,14 +246,6 @@ - - - - - - - - @@ -302,22 +254,6 @@ - - - - - - - - - - - - - - - - @@ -466,14 +402,6 @@ - - - - - - - - @@ -514,14 +442,6 @@ - - - - - - - - @@ -530,22 +450,6 @@ - - - - - - - - - - - - - - - - @@ -697,816 +601,698 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - + + + + + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - + + + + + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - + + + + + + + + - - - - + + + + - - - - + + + + - - - - + + + + - - + + - + - - + 'po_dbg_cnt[0]' == low && 'po_dbg_cnt[10]' == low && 'po_dbg_cnt[11]' == high && 'po_dbg_cnt[12]' == low && 'po_dbg_cnt[13]' == high && 'po_dbg_cnt[14]' == high && 'po_dbg_cnt[15]' == high && 'po_dbg_cnt[16]' == low && 'po_dbg_cnt[17]' == high && 'po_dbg_cnt[18]' == low && 'po_dbg_cnt[19]' == low && 'po_dbg_cnt[1]' == low && 'po_dbg_cnt[20]' == high && 'po_dbg_cnt[21]' == high && 'po_dbg_cnt[22]' == low && 'po_dbg_cnt[23]' == high && 'po_dbg_cnt[24]' == low && 'po_dbg_cnt[25]' == low && 'po_dbg_cnt[26]' == low && 'po_dbg_cnt[27]' == low && 'po_dbg_cnt[28]' == low && 'po_dbg_cnt[29]' == low && 'po_dbg_cnt[2]' == low && 'po_dbg_cnt[30]' == low && 'po_dbg_cnt[31]' == low && 'po_dbg_cnt[32]' == low && 'po_dbg_cnt[33]' == low && 'po_dbg_cnt[34]' == low && 'po_dbg_cnt[35]' == low && 'po_dbg_cnt[36]' == low && 'po_dbg_cnt[37]' == low && 'po_dbg_cnt[38]' == low && 'po_dbg_cnt[39]' == low && 'po_dbg_cnt[3]' == low && 'po_dbg_cnt[40]' == low && 'po_dbg_cnt[41]' == low && 'po_dbg_cnt[42]' == low && 'po_dbg_cnt[43]' == low && 'po_dbg_cnt[44]' == low && 'po_dbg_cnt[45]' == low && 'po_dbg_cnt[46]' == low && 'po_dbg_cnt[47]' == low && 'po_dbg_cnt[48]' == low && 'po_dbg_cnt[49]' == low && 'po_dbg_cnt[4]' == low && 'po_dbg_cnt[50]' == low && 'po_dbg_cnt[51]' == low && 'po_dbg_cnt[52]' == low && 'po_dbg_cnt[53]' == low && 'po_dbg_cnt[54]' == low && 'po_dbg_cnt[55]' == low && 'po_dbg_cnt[56]' == low && 'po_dbg_cnt[57]' == low && 'po_dbg_cnt[58]' == low && 'po_dbg_cnt[59]' == low && 'po_dbg_cnt[5]' == high && 'po_dbg_cnt[60]' == low && 'po_dbg_cnt[61]' == low && 'po_dbg_cnt[62]' == low && 'po_dbg_cnt[63]' == low && 'po_dbg_cnt[6]' == low && 'po_dbg_cnt[7]' == low && 'po_dbg_cnt[8]' == high && 'po_dbg_cnt[9]' == high + + + @@ -1519,8 +1305,8 @@ trigger;]]> - 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 @@ -1539,21 +1325,21 @@ trigger;]]> - - + 0000000000000000001010101010010010001101101001001001011101000110100000000000000000000000000000000000000010000001000101000000000000000000011111000100000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010010010111010001101000000000000000000000000000000000000000100000010001010000000000000000000100001001000001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100110101110100011010000000000000000000000000000000000000001000000100010100000000000000000001000010010000011110000000000000100000010000001111111110101000000000000000001010101010010010001101101001001101011101000110100000000000000000000000000000000000000010000001000101000000000000000000010000100100000111100000000000001000000100000011111111101010000000000000000010101010100100100011011010010010010111010011101000000000000000000000000000000000000000100000010001010000000000000000000100001001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100111010000000000000000000000000000000000000001000000100010100000000000000000001100010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001101011101001110100000000000000000000000000000000000000010000001000101000000000000000000011000100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010011101000000000000000000000000000000000000000100000010001010000000000000000000110001001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100011010000001000000000000000000000000000000001000000100010100000000000000000001100010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101000110100000010000000000000000000000000000000010000001000101000000000000000000010100100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010001101000000100000000000000000000000000000000100000010001010000000000000000000101001001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100011010000001000000000000000000000000000000001000000100010100000000000000000001010010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101001110100000010000000000000000000000000000000010000001000101000000000000000000010100100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010010010111010011101000000100000000000000000000000000000000100000010001010000000000000000000111001001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100111010000001000000000000000000000000000000001000000100010100000000000000000001110010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001101011101001110100000010000000000000000000000000000000010000001000101000000000000000000011100100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010010010111010001101000000000000000001000000000000000000000100000010001010000000000000000000111001001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100011010000000000000000010000000000000000000001000000100010100000000000000000001001010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001101011101000110100000000000000000100000000000000000000010000001000101000000000000000000010010100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010001101000000000000000001000000000000000000000100000010001010000000000000000000100101001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100111010000000000000000010000000000000000000001000000100010100000000000000000001001010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101001110100000000000000000100000000000000000000010000001000101000000000000000000011010100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010011101000000000000000001000000000000000000000100000010001010000000000000000000110101001000001111000000000000010000000000000111111111010100000000000000011000000101001001000110110100100110101110100111010000000000000000010000000000000000000001000000100010100000000000000000001101010010000011110000000000000100000000000001111111110101000000000000000110000001010010010001101101001001001011101000110100000010000000000100000000000000000000010000001000101000000000000000000011010100100000111100000000000001000000000000011111111101010000000000000001100000010100100100011011010010010010111010001101000000100000000001000000000000000000000100000010001010000000000000000000101101001000001111000000000000010000000000000111111111010100000000000000011000000101001001000110110100100110101110100011010000001000000000010000000000000000000001000000100010100000000000000000001011010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001101011101000110100000010000000000100000000000000000000010000001000101000000000000000000010110100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010010010111010011101000000100000000001000000000000000000000100000010001010000000000000000000101101001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100111010000001000000000010000000000000000000001000000100010100000000000000000001111010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001101011101001110100000010000000000100000000000000000000010000001000101000000000000000000011110100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010011101000000100000000001000000000000000000000100000010001010000000000000000000111101001000001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100011010000000000000000000000000000100000000001000000100010100000000000000000001111010010000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101000110100000000000000000000000000001000000000010000001000101000000000000000000010001100100000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010001101000000000000000000000000000010000000000100000010001010000000000000000000100011001000001111000000000000010000001000000111111111010100000011010101111000000101001001000110110100100110101110100011010000000000000000000000000000100000000001000000100010100000000000000000001000110010000011110000000000000100000010000001111111110101000000110101011110000001010010010001101101001001001011101001110100000000000000000000000000001000000000010000001000101000000000000000000010001100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010010010111010011101000000000000000000000000000010000000000100000010001010000000000000000000110011001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100110101110100111010000000000000000000000000000100000000001000000100010100000000000000000001100110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001001101011101001110100000000000000000000000000001000000000010000001000101000000000000000000011001100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010010010111010001101000000100000000000000000000010000000000100000010001010000000000000000000110011001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100100101110100011010000001000000000000000000000100000000001000000100010100000000000000000001010110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001001101011101000110100000010000000000000000000001000000000010000001000101000000000000000000010101100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010011010111010001101000000100000000000000000000010000000000100000010001010000000000000000000101011001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100100101110100111010000001000000000000000000000100000000001000000100010100000000000000000001010110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001001001011101001110100000010000000000000000000001000000000010000001000101000000000000000000011101100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010011010111010011101000000100000000000000000000010000000000100000010001010000000000000000000111011001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100110101110100111010000001000000000000000000000100000000001000000100010100000000000000000001110110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011001011101000110100000000000000000100000000001000000000010000001000101000000000000000000011101100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010110010111010001101000000000000000001000000000010000000000100000010001010000000000000000000100111001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101110101110100011010000000000000000010000000000100000000001000000100010100000000000000000001001110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011101011101000110100000000000000000100000000001000000000010000001000101000000000000000000010011100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010110010111010011101000000000000000001000000000010000000000100000010001010000000000000000000100111001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101100101110100111010000000000000000010000000000100000000001000000100010100000000000000000001101110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011101011101001110100000000000000000100000000001000000000010000001000101000000000000000000011011100100000111100000000000001000000000000011111111101010000001101010111111111110100100100011011010010111010111010011101000000000000000001000000000010000000000100000010001010000000000000000000110111001000001111000000000000010000000000000111111111010100000011010101111111111101001001000110110100101100101110100011010000001000000000010000000000100000000001000000100010100000000000000000001101110010000011110000000000000100000000000001111111110101000000110101011111111111010010010001101101001011001011101000110100000010000000000100000000001000000000010000001000101000000000000000000010111100100000111100000000000001000000000000011111111101010000001101010111111111110100100100011011010010111010111010001101000000100000000001000000000010000000000100000010001010000000000000000000101111001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101110101110100011010000001000000000010000000000100000000001000000100010100000000000000000001011110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011001011101001110100000010000000000100000000001000000000010000001000101000000000000000000010111100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010110010111010011101000000100000000001000000000010000000000100000010001010000000000000000000111111001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101110101110100111010000001000000000010000000000100000000001000000100010100000000000000000001111110010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011101011101001110100000010000000000100000000001000000000010000001000101000000000000000000011111100100000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010110010111010001101000000000000000000000000000000000000000000001010001010000000000000000000111111001000001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101100101110100011010000000000000000000000000000000000000000000010100010100000000000000000001000001010000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011101011101000110100000000000000000000000000000000000000000000101000101000000000000000000010000010100000111100000000000001000000100000011111111101011100011011101011111111110100101100011011010010111010111010001101000000000000000000000000000000000000000000001010001010000000000000000000100000101000001111000000000000010000001000000111111111010111000110111010111111111101001011000110110100101100101110100111010000000000000000000000000000000000000000000010100010100000000000000000001000001010000011110000000000000100000010000001111111110101110001101110101000000001010010110001101101001011001011101001110100000000000000000000000000000000000000000000101000101000000000000000000011000010100000111100000000000001000000100000011111111101011100011011101011011000110100101100011011010010111010111010011101000000000000000000000000000000000000000000001010001010000000000000000000110000101000001111000000000000010000001000000111111111010111000110111010110110001101001011000110110100101110101110100111010000000000000000000000000000000000000000000010100010100000000000000000001100001010000011110000000000000100000010000001111111110101110001101110101101100011010010110001101101001011001011101000110100000010000000000000000000000000000000000000101000101000000000000000000011000010100000111100000000000001000000100000011111111101011100011011101011011000110100101100011011010010110010111010001101000000100000000000000000000000000000000000001010001010000000000000000000101000101000001111000000000000010000001000000111111111010111000110111010110110001101001011000110110100101110101110100011010000001000000000000000000000000000000000000010100010100000000000000000001010001010000011110000000000000100000010000001111111110101110001101110101101100011010010110001101101001011101011101000110100000010000000000000000000000000000000000000101000101000000000000000000010100010100000111100000000000001000000100000011111111101011100011011101011011000110100101100011011010010110010111010011101000000100000000000000000000000000000000000001010001010000000000000000000101000101000001111000000000000010000001000000111111111010111000110111010110110001101001011000110110100101100101110100111010000001000000000000000000000000000000000000010100010100000000000000000001110001010000011110000000000000100000010000001111111110101110001101110101101100011010010110001101101001011101011101001110100000010000000000000000000000000000000000000101000101000000000000000000011100010100000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010111010111010011101000000100000000000000000000000000000000000001010001010000000000000000000111000101000001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101100101110100011010000000000000000010000000000000000000000000010100010100000000000000000001110001010000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011001011101000110100000000000000000100000000000000000000000000101000101000000000000000000010010010100000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010111010111010001101000000000000000001000000000000000000000000001010001010000000000000000000100100101000001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100011010000000000000000010000000000000000000000000010100010100000000000000000001001001010000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011001011101001110100000000000000000100000000000000000000000000101000101000000000000000000010010010100000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010110010111010011101000000000000000001000000000000000000000000001010001010000000000000000000110100101000001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100111010000000000000000010000000000000000000000000010100010100000000000000000001101001010000011110000000000000100000000000001111111110101110001101110101101100011010010110110001101001011101011101001110100000000000000000100000000000000000000000000101000101000000000000000000011010010100000111100000000000001000000000000011111111101011100011011101011011000110100101101100011010010110010111010001101000000100000000001000000000000000000000000001010001010000000000000000000110100101000001111000000000000010000000000000111111111010111000110111010110110001101001011011000110100101100101110100011010000001000000000010000000000000000000000000010100010100000000000000000001011001010000011110000000000000100000000000001111111110101110001101110101101100011010010110110001101001011101011101000110100000010000000000100000000000000000000000000101000101000000000000000000010110010100000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010111010111010001101000000100000000001000000000000000000000000001010001010000000000000000000101100101000001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101100101110100111010000001000000000010000000000000000000000000010100010100000000000000000001011001010000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011001011101001110100000010000000000100000000000000000000000000101000101000000000000000000011110010100000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010111010111010011101000000100000000001000000000000000000000000001010001010000000000000000000111100101000001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100111010000001000000000010000000000000000000000000010100010100000000000000000001111001010000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011001011101000110100000000000000000000000000001000000000000000101000101000000000000000000011110010100000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010110010111010001101000000000000000000000000000010000000000000001010001010000000000000000000100010101000001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100011010000000000000000000000000000100000000000000010100010100000000000000000001000101010000011110000000000000100000010000001111111110100110001011110101101100011010010110110001101001011101011101000110100000000000000000000000000001000000000000000101000101000000000000000000010001010100000111100000000000001000000100000011111111101001100010111101011011000110100101101100011010010110010111010011101000000000000000000000000000010000000000000001010001010000000000000000000100010101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100111010000000000000000000000000000100000000000000010100010100000000000000000001100101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011101011101001110100000000000000000000000000001000000000000000101000101000000000000000000011001010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010011101000000000000000000000000000010000000000000001010001010000000000000000000110010101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100011010000001000000000000000000000100000000000000010100010100000000000000000001100101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101000110100000010000000000000000000001000000000000000101000101000000000000000000010101010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010001101000000100000000000000000000010000000000000001010001010000000000000000000101010101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100011010000001000000000000000000000100000000000000010100010100000000000000000001010101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101001110100000010000000000000000000001000000000000000101000101000000000000000000010101010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010110010111010011101000000100000000000000000000010000000000000001010001010000000000000000000111010101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100111010000001000000000000000000000100000000000000010100010100000000000000000001110101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011101011101001110100000010000000000000000000001000000000000000101000101000000000000000000011101010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010110010111010001101000000000000000001000000000010000000000000001010001010000000000000000000111010101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100011010000000000000000010000000000100000000000000010100010100000000000000000001001101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011101011101000110100000000000000000100000000001000000000000000101000101000000000000000000010011010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010001101000000000000000001000000000010000000000000001010001010000000000000000000100110101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100111010000000000000000010000000000100000000000000010100010100000000000000000001001101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101001110100000000000000000100000000001000000000000000101000101000000000000000000011011010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010011101000000000000000001000000000010000000000000001010001010000000000000000000110110101000001111000000000000010000000000000111111111010011000101111010111100000101001011011000110100101110101110100111010000000000000000010000000000100000000000000010100010100000000000000000001101101010000011110000000000000100000000000001111111110100110001011110101111000001010010110110001101001011001011101000110100000010000000000100000000001000000000000000101000101000000000000000000011011010100000111100000000000001000000000000011111111101001100010111101011110000010100101101100011010010110010111010001101000000100000000001000000000010000000000000001010001010000000000000000000101110101000001111000000000000010000000000000111111111010011000101111010111100000101001011011000110100101110101110100011010000001000000000010000000000100000000000000010100010100000000000000000001011101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011101011101000110100000010000000000100000000001000000000000000101000101000000000000000000010111010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010110010111010011101000000100000000001000000000010000000000000001010001010000000000000000000101110101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100111010000001000000000010000000000100000000000000010100010100000000000000000001111101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011101011101001110100000010000000000100000000001000000000000000101000101000000000000000000011111010100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010011101000000100000000001000000000010000000000000001010001010000000000000000000111110101000001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100011010000000000000000000000000000000000000001000010100010100000000000000000001111101010000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101000110100000000000000000000000000000000000000010000101000101000000000000000000010000110100000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010001101000000000000000000000000000000000000000100001010001010000000000000000000100001101000001111000000000000010000001000000111111111010111000101111010111100000101001011011000110100101110101110100011010000000000000000000000000000000000000001000010100010100000000000000000001000011010000011110000000000000100000010000001111111110101110001011110101111000001010010110110001101001011001011101001110100000000000000000000000000000000000000010000101000101000000000000000000010000110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010011101000000000000000000000000000000000000000100001010001010000000000000000000110001101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101110101110100111010000000000000000000000000000000000000001000010100010100000000000000000001100011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101001110100000000000000000000000000000000000000010000101000101000000000000000000011000110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010001101000000100000000000000000000000000000000100001010001010000000000000000000110001101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100011010000001000000000000000000000000000000001000010100010100000000000000000001010011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101000110100000010000000000000000000000000000000010000101000101000000000000000000010100110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010001101000000100000000000000000000000000000000100001010001010000000000000000000101001101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100111010000001000000000000000000000000000000001000010100010100000000000000000001010011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011001011101001110100000010000000000000000000000000000000010000101000101000000000000000000011100110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010011101000000100000000000000000000000000000000100001010001010000000000000000000111001101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101110101110100111010000001000000000000000000000000000000001000010100010100000000000000000001110011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011001011101000110100000000000000000100000000000000000000010000101000101000000000000000000011100110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010001101000000000000000001000000000000000000000100001010001010000000000000000000100101101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101110101110100011010000000000000000010000000000000000000001000010100010100000000000000000001001011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101000110100000000000000000100000000000000000000010000101000101000000000000000000010010110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010011101000000000000000001000000000000000000000100001010001010000000000000000000100101101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100111010000000000000000010000000000000000000001000010100010100000000000000000001101011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101001110100000000000000000100000000000000000000010000101000101000000000000000000011010110100000111100000000000001000000000000011111111101011100010111101010000010010100101101100011010010111010111010011101000000000000000001000000000000000000000100001010001010000000000000000000110101101000001111000000000000010000000000000111111111010111000101111010100000100101001011011000110100101100101110100011010000001000000000010000000000000000000001000010100010100000000000000000001101011010000011110000000000000100000000000001111111110101110001011110101000001001010010110110001101001011001011101000110100000010000000000100000000000000000000010000101000101000000000000000000010110110100000111100000000000001000000000000011111111101011100010111101010000010010100101101100011010010111010111010001101000000100000000001000000000000000000000100001010001010000000000000000000101101101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101110101110100011010000001000000000010000000000000000000001000010100010100000000000000000001011011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011001011101001110100000010000000000100000000000000000000010000101000101000000000000000000010110110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010011101000000100000000001000000000000000000000100001010001010000000000000000000111101101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101110101110100111010000001000000000010000000000000000000001000010100010100000000000000000001111011010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101001110100000010000000000100000000000000000000010000101000101000000000000000000011110110100000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010001101000000000000000000000000000010000000000100001010001010000000000000000000111101101000001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100011010000000000000000000000000000100000000001000010100010100000000000000000001000111010000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101000110100000000000000000000000000001000000000010000101000101000000000000000000010001110100000111100000000000001000000100000011111111101010001001100000000000010011111111101100011010010111010111010001101000000000000000000000000000010000000000100001010001010000000000000000000100011101000001111000000000000010000001000000111111111110100010011000000000000100111111111011000110100101100101110100111010000000000000000000000000000100000000001000010100010100000000000000000001000111010000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101001110100000000000000000000000000001000000000010000101000101000000000000000000011001110100000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010111010111010011101000000000000000000000000000010000000000100001010001010000000000000000000110011101000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100111010000000000000000000000000000100000000001000010100010100000000000000000001100111010000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101000110100000010000000000000000000001000000000010000101000101000000000000000000011001110100000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010001101000000100000000000000000000010000000000100001010001010000000000000000000101011101000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100011010000001000000000000000000000100000000001000010100010100000000000000000001010111010000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011101011101000110100000010000000000000000000001000000000010000101000101000000000000000000010101110100000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010011101000000100000000000000000000010000000000100001010001010000000000000000000101011101000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101100101110100111010000001000000000000000000000100000000001000010100010100000000000000000001110111010000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011101011101001110100000010000000000000000000001000000000010000101000101000000000000000000011101110100000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010111010111010011101000000100000000000000000000010000000000100001010001010000000000000000000111011101000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101100101110100011010000000000000000010000000000100000000001000010100010100000000000000000001110111010000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101000110100000000000000000100000000001000000000010000101000101000000000000000000010011110100000111100000000000001000000100000011111111110010001001100000001111111111111111101100011010010111010111010001101000000000000000001000000000010000000000100001010001010000000000000000000100111101000001111000000000000010000001000000111111111100100010011000000011111111111111111011000110100101110101110100011010000000000000000010000000000100000000001000010100010100000000000000000001001111010000011110000000000000100000010000001111111111001000100110000000111111111111111110110001101001011001011101001110100000000000000000100000000001000000000010000101000101000000000000000000010011110100000111100000000000001000000100000011111111110010001001100000001111111111111111101100011010010110010111010011101000000000000000001000000000010000000000100001010001010000000000000000000110111101000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100111010000000000000000010000000000100000000001000010100010100000000000000000001101111010000011110000000000000100000000000001111111111101000100110000000111111111111111110110001101001011101011101001110100000000000000000100000000001000000000010000101000101000000000000000000011011110100000111100000000000001000000000000011111111111010001001100000001111111111111111101100011010010110010111010001101000000100000000001000000000010000000000100001010001010000000000000000000110111101000001111000000000000010000000000000111111111110100010011000000011111111111111111011000110100101100101110100011010000001000000000010000000000100000000001000010100010100000000000000000001011111010000011110000000000000100000000000001111111111101000100110000000111111111111111110110001101001011101011101000110100000010000000000100000000001000000000010000101000101000000000000000000010111110100000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010111010111010001101000000100000000001000000000010000000000100001010001010000000000000000000101111101000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101100101110100111010000001000000000010000000000100000000001000010100010100000000000000000001011111010000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101001110100000010000000000100000000001000000000010000101000101000000000000000000011111110100000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010111010111010011101000000100000000001000000000010000000000100001010001010000000000000000000111111101000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100111010000001000000000010000000000100000000001000010100010100000000000000000001111111010000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101000110100000000000000000000000000000000000000000000011000101000000000000000000011111110100000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010001101000000000000000000000000000000000000000000000110001010000000000000000000100000011000001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100011010000000000000000000000000000000000000000000001100010100000000000000000001000000110000011110000000000000100000010000001111111111100110001111110101111111111010010110110001101001011101011101000110100000000000000000000000000000000000000000000011000101000000000000000000010000001100000111100000000000001000000100000011111111101001100011111101011111111110100101101100011010010110010111010011101000000000000000000000000000000000000000000000110001010000000000000000000100000011000001111000000000000010000001000000111111111010011000111111010111111111101001011011000110100101100101110100111010000000000000000000000000000000000000000000001100010100000000000000000001100000110000011110000000000000100000010000001111111110100110001111110101010100111010010110110001101001011101011101001110100000000000000000000000000000000000000000000011000101000000000000000000011000001100000111100000000000001000000100000011111111101001100011111101010101001110100101101100011010010111010111010011101000000000000000000000000000000000000000000000110001010000000000000000000110000011000001111000000000000010000001000000111111111010011000111111010101010011101001011011000110100101100101110100011010000001000000000000000000000000000000000000001100010100000000000000000001100000110000011110000000000000100000010000001111111110100110001111110101010100111010010110110001101001011001011101000110100000010000000000000000000000000000000000000011000101000000000000000000010100001100000111100000000000001000000100000011111111101001100011111101010101001110100101101100011010010111010111010001101000000100000000000000000000000000000000000000110001010000000000000000000101000011000001111000000000000010000001000000111111111010011000111111010101010011101001011011000110100101110101110100011010000001000000000000000000000000000000000000001100010100000000000000000001010000110000011110000000000000100000010000001111111110100110001111110101010100111010010110110001101001011001011101001110100000010000000000000000000000000000000000000011000101000000000000000000010100001100000111100000000000001000000100000011111111101001100011111101010101001110100101101100011010010110010111010011101000000100000000000000000000000000000000000000110001010000000000000000000111000011000001111000000000000010000001000000111111111010011000111111010101010011101001011011000110100101110101110100111010000001000000000000000000000000000000000000001100010100000000000000000001110000110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000010000000000000000000000000000000000000011000101000000000000000000011100001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010001101000000000000000001000000000000000000000000000110001010000000000000000000111000011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100011010000000000000000010000000000000000000000000001100010100000000000000000001001000110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101000110100000000000000000100000000000000000000000000011000101000000000000000000010010001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010001101000000000000000001000000000000000000000000000110001010000000000000000000100100011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100111010000000000000000010000000000000000000000000001100010100000000000000000001001000110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000000000000000100000000000000000000000000011000101000000000000000000011010001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000000000000001000000000000000000000000000110001010000000000000000000110100011000001111000000000000010000000000000111111111010011000111111010101010011101001010101001110100101110101110100111010000000000000000010000000000000000000000000001100010100000000000000000001101000110000011110000000000000100000000000001111111110100110001111110101010100111010010101010011101001011001011101000110100000010000000000100000000000000000000000000011000101000000000000000000011010001100000111100000000000001000000000000011111111101001100011111101010101001110100101010100111010010110010111010001101000000100000000001000000000000000000000000000110001010000000000000000000101100011000001111000000000000010000000000000111111111010011000111111010101010011101001010101001110100101110101110100011010000001000000000010000000000000000000000000001100010100000000000000000001011000110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101000110100000010000000000100000000000000000000000000011000101000000000000000000010110001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000100000000001000000000000000000000000000110001010000000000000000000101100011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100111010000001000000000010000000000000000000000000001100010100000000000000000001111000110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000010000000000100000000000000000000000000011000101000000000000000000011110001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000100000000001000000000000000000000000000110001010000000000000000000111100011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100011010000000000000000000000000000100000000000000001100010100000000000000000001111000110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101000110100000000000000000000000000001000000000000000011000101000000000000000000010001001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010001101000000000000000000000000000010000000000000000110001010000000000000000000100010011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100011010000000000000000000000000000100000000000000001100010100000000000000000001000100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000000000000000000000000001000000000000000011000101000000000000000000010001001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000000000000000000000000010000000000000000110001010000000000000000000110010011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100111010000000000000000000000000000100000000000000001100010100000000000000000001100100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000000000000000000000000001000000000000000011000101000000000000000000011001001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010001101000000100000000000000000000010000000000000000110001010000000000000000000110010011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100011010000001000000000000000000000100000000000000001100010100000000000000000001010100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101000110100000010000000000000000000001000000000000000011000101000000000000000000010101001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010001101000000100000000000000000000010000000000000000110001010000000000000000000101010011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100111010000001000000000000000000000100000000000000001100010100000000000000000001010100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000010000000000000000000001000000000000000011000101000000000000000000011101001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000100000000000000000000010000000000000000110001010000000000000000000111010011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100111010000001000000000000000000000100000000000000001100010100000000000000000001110100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001001011101000110100000000000000000100000000001000000000000000011000101000000000000000000011101001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010010010111010001101000000000000000001000000000010000000000000000110001010000000000000000000100110011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100110101110100011010000000000000000010000000000100000000000000001100010100000000000000000001001100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001101011101000110100000000000000000100000000001000000000000000011000101000000000000000000010011001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010010010111010011101000000000000000001000000000010000000000000000110001010000000000000000000100110011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100100101110100111010000000000000000010000000000100000000000000001100010100000000000000000001101100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001101011101001110100000000000000000100000000001000000000000000011000101000000000000000000011011001100000111100000000000001000000000000011111111101001100011111101010101001110100101010100111010010011010111010011101000000000000000001000000000010000000000000000110001010000000000000000000110110011000001111000000000000010000000000000111111111010011000111111010101010011101001010101001110100100100101110100011010000001000000000010000000000100000000000000001100010100000000000000000001101100110000011110000000000000100000000000001111111110100110001111110101010100111010010101010011101001001001011101000110100000010000000000100000000001000000000000000011000101000000000000000000010111001100000111100000000000001000000000000011111111101001100011111101010101001110100101010100111010010011010111010001101000000100000000001000000000010000000000000000110001010000000000000000000101110011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100110101110100011010000001000000000010000000000100000000000000001100010100000000000000000001011100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001001011101001110100000010000000000100000000001000000000000000011000101000000000000000000010111001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010010010111010011101000000100000000001000000000010000000000000000110001010000000000000000000111110011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100110101110100111010000001000000000010000000000100000000000000001100010100000000000000000001111100110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001101011101001110100000010000000000100000000001000000000000000011000101000000000000000000011111001100000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010010010111010001101000000000000000000000000000000000000000100000110001010000000000000000000111110011000001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100100101110100011010000000000000000000000000000000000000001000001100010100000000000000000001000010110000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001101011101000110100000000000000000000000000000000000000010000011000101000000000000000000010000101100000111100000000000001000000100000011111111101011100011111101010101001110100100010100111010010011010111010001101000000000000000000000000000000000000000100000110001010000000000000000000100001011000001111000000000000010000001000000111111111010111000111111010101010011101001000101001110100100100101110100111010000000000000000000000000000000000000001000001100010100000000000000000001000010110000011110000000000000100000010000001111111110101110001111110101000010111010010001010011101001001001011101001110100000000000000000000000000000000000000010000011000101000000000000000000011000101100000111100000000000001000000100000011111111101011100011111101010000101110100100010100111010010011010111010011101000000000000000000000000000000000000000100000110001010000000000000000000110001011000001111000000000000010000001000000111111111010111000111111010100001011101001000101001110100100110101110100111010000000000000000000000000000000000000001000001100010100000000000000000001100010110000011110000000000000100000010000001111111110101110001111110101000010111010010001010011101001001001011101000110100000010000000000000000000000000000000010000011000101000000000000000000011000101100000111100000000000001000000100000011111111101011100011111101010000101110100100010100111010010010010111010001101000000100000000000000000000000000000000100000110001010000000000000000000101001011000001111000000000000010000001000000111111111010111000111111010100001011101001000101001110100100110101110100011010000001000000000000000000000000000000001000001100010100000000000000000001010010110000011110000000000000100000010000001111111110101110001111110101000010111010010001010011101001001101011101000110100000010000000000000000000000000000000010000011000101000000000000000000010100101100000111100000000000001000000100000011111111101011100011111101010000101110100100010100111010010010010111010011101000000100000000000000000000000000000000100000110001010000000000000000000101001011000001111000000000000010000001000000111111111010111000111111010100001011101001000101001110100100100101110100111010000001000000000000000000000000000000001000001100010100000000000000000001110010110000011110000000000000100000010000001111111110101110001111110101000010111010010001010011101001001101011101001110100000010000000000000000000000000000000010000011000101000000000000000000011100101100000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010011010111010011101000000100000000000000000000000000000000100000110001010000000000000000000111001011000001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100100101110100011010000000000000000010000000000000000000001000001100010100000000000000000001110010110000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001001011101000110100000000000000000100000000000000000000010000011000101000000000000000000010010101100000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010011010111010001101000000000000000001000000000000000000000100000110001010000000000000000000100101011000001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100011010000000000000000010000000000000000000001000001100010100000000000000000001001010110000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001001011101001110100000000000000000100000000000000000000010000011000101000000000000000000010010101100000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010010010111010011101000000000000000001000000000000000000000100000110001010000000000000000000110101011000001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100111010000000000000000010000000000000000000001000001100010100000000000000000001101010110000011110000000000000100000000000001111111110101110001111110101000010111010010000001011101001001101011101001110100000000000000000100000000000000000000010000011000101000000000000000000011010101100000111100000000000001000000000000011111111101011100011111101010000101110100100000010111010010010010111010001101000000100000000001000000000000000000000100000110001010000000000000000000110101011000001111000000000000010000000000000111111111010111000111111010100001011101001000000101110100100100101110100011010000001000000000010000000000000000000001000001100010100000000000000000001011010110000011110000000000000100000000000001111111110101110001111110101000010111010010000001011101001001101011101000110100000010000000000100000000000000000000010000011000101000000000000000000010110101100000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010011010111010001101000000100000000001000000000000000000000100000110001010000000000000000000101101011000001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100100101110100111010000001000000000010000000000000000000001000001100010100000000000000000001011010110000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001001011101001110100000010000000000100000000000000000000010000011000101000000000000000000011110101100000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010011010111010011101000000100000000001000000000000000000000100000110001010000000000000000000111101011000001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100111010000001000000000010000000000000000000001000001100010100000000000000000001111010110000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001001011101000110100000000000000000000000000001000000000010000011000101000000000000000000011110101100000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010010010111010001101000000000000000000000000000010000000000100000110001010000000000000000000100011011000001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100011010000000000000000000000000000100000000001000001100010100000000000000000001000110110000011110000000000000100000010000001111111110100110001000001101000010111010010000001011101001001101011101000110100000000000000000000000000001000000000010000011000101000000000000000000010001101100000111100000000000001000000100000011111111101001100010000011010000101110100100000010111010010010010111010011101000000000000000000000000000010000000000100000110001010000000000000000000100011011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100111010000000000000000000000000000100000000001000001100010100000000000000000001100110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000000000000000000000000001000000000010000011000101000000000000000000011001101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000000000000000000000000010000000000100000110001010000000000000000000110011011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100011010000001000000000000000000000100000000001000001100010100000000000000000001100110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101000110100000010000000000000000000001000000000010000011000101000000000000000000010101101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010001101000000100000000000000000000010000000000100000110001010000000000000000000101011011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100011010000001000000000000000000000100000000001000001100010100000000000000000001010110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000010000000000000000000001000000000010000011000101000000000000000000010101101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000100000000000000000000010000000000100000110001010000000000000000000111011011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100111010000001000000000000000000000100000000001000001100010100000000000000000001110110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000010000000000000000000001000000000010000011000101000000000000000000011101101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010001101000000000000000001000000000010000000000100000110001010000000000000000000111011011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100011010000000000000000010000000000100000000001000001100010100000000000000000001001110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101000110100000000000000000100000000001000000000010000011000101000000000000000000010011101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010001101000000000000000001000000000010000000000100000110001010000000000000000000100111011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100111010000000000000000010000000000100000000001000001100010100000000000000000001001110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000000000000000100000000001000000000010000011000101000000000000000000011011101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000000000000001000000000010000000000100000110001010000000000000000000110111011000001111000000000000010000000000000111111111010011000100000110110101111101001000000101110100100110101110100111010000000000000000010000000000100000000001000001100010100000000000000000001101110110000011110000000000000100000000000001111111110100110001000001101101011111010010000001011101001001001011101000110100000010000000000100000000001000000000010000011000101000000000000000000011011101100000111100000000000001000000000000011111111101001100010000011011010111110100100000010111010010010010111010001101000000100000000001000000000010000000000100000110001010000000000000000000101111011000001111000000000000010000000000000111111111010011000100000110110101111101001000000101110100100110101110100011010000001000000000010000000000100000000001000001100010100000000000000000001011110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101000110100000010000000000100000000001000000000010000011000101000000000000000000010111101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000100000000001000000000010000000000100000110001010000000000000000000101111011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100111010000001000000000010000000000100000000001000001100010100000000000000000001111110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000010000000000100000000001000000000010000011000101000000000000000000011111101100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000100000000001000000000010000000000100000110001010000000000000000000111111011000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100011010000000000000000000000000000000000000000000011100010100000000000000000001111110110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101000110100000000000000000000000000000000000000000000111000101000000000000000000010000011100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010001101000000000000000000000000000000000000000000001110001010000000000000000000100000111000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100011010000000000000000000000000000000000000000000011100010100000000000000000001000001110000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000000000000000000000000000000000000000000111000101000000000000000000010000011100000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000000000000000000000000000000000000000001110001010000000000000000000110000111000001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100111010000000000000000000000000000000000000000000011100010100000000000000000001100001110000011110000000000000100000010000001111111110100110001110110101101011111010010000001011101001001101011101001110100000000000000000000000000000000000000000000111000101000000000000000000011000011100000111100000000000001000000100000011111111101001100011101101011010111110100100000010111010010010010111010001101000000100000000000000000000000000000000000001110001010000000000000000000110000111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100011010000001000000000000000000000000000000000000011100010100000000000000000001010001110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000010000000000000000000000000000000000000111000101000000000000000000010100011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000100000000000000000000000000000000000001110001010000000000000000000101000111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100111010000001000000000000000000000000000000000000011100010100000000000000000001010001110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000010000000000000000000000000000000000000111000101000000000000000000011100011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000100000000000000000000000000000000000001110001010000000000000000000111000111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100111010000001000000000000000000000000000000000000011100010100000000000000000001110001110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101000110100000000000000000100000000000000000000000000111000101000000000000000000011100011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010001101000000000000000001000000000000000000000000001110001010000000000000000000100100111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100011010000000000000000010000000000000000000000000011100010100000000000000000001001001110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000000000000000100000000000000000000000000111000101000000000000000000010010011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000000000000001000000000000000000000000001110001010000000000000000000100100111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100111010000000000000000010000000000000000000000000011100010100000000000000000001101001110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000000000000000100000000000000000000000000111000101000000000000000000011010011100000111100000000000001000000000000011111111101001100011101101010000110110100100000010111010010011010111010011101000000000000000001000000000000000000000000001110001010000000000000000000110100111000001111000000000000010000000000000111111111010011000111011010100001101101001000000101110100100100101110100011010000001000000000010000000000000000000000000011100010100000000000000000001101001110000011110000000000000100000000000001111111110100110001110110101000011011010010000001011101001001001011101000110100000010000000000100000000000000000000000000111000101000000000000000000010110011100000111100000000000001000000000000011111111101001100011101101010000110110100100000010111010010011010111010001101000000100000000001000000000000000000000000001110001010000000000000000000101100111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100011010000001000000000010000000000000000000000000011100010100000000000000000001011001110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000010000000000100000000000000000000000000111000101000000000000000000010110011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000100000000001000000000000000000000000001110001010000000000000000000111100111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100111010000001000000000010000000000000000000000000011100010100000000000000000001111001110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000010000000000100000000000000000000000000111000101000000000000000000011110011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010001101000000000000000000000000000010000000000000001110001010000000000000000000111100111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100011010000000000000000000000000000100000000000000011100010100000000000000000001000101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000000000000000000000000001000000000000000111000101000000000000000000010001011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000000000000000000000000010000000000000001110001010000000000000000000100010111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100111010000000000000000000000000000100000000000000011100010100000000000000000001000101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000000000000000000000000001000000000000000111000101000000000000000000011001011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000000000000000000000000010000000000000001110001010000000000000000000110010111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100111010000000000000000000000000000100000000000000011100010100000000000000000001100101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101000110100000010000000000000000000001000000000000000111000101000000000000000000011001011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010001101000000100000000000000000000010000000000000001110001010000000000000000000101010111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100011010000001000000000000000000000100000000000000011100010100000000000000000001010101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000010000000000000000000001000000000000000111000101000000000000000000010101011100000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000100000000000000000000010000000000000001110001010000000000000000000101010111000001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100111010000001000000000000000000000100000000000000011100010100000000000000000001110101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000010000000000000000000001000000000000000111000101000000000000000000011101011100000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010011010111010011101000000100000000000000000000010000000000000001110001010000000000000000000111010111000001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100100101110100011010000000000000000010000000000100000000000000011100010100000000000000000001110101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001001011101000110100000000000000000100000000001000000000000000111000101000000000000000000010011011100000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010011010111010001101000000000000000001000000000010000000000000001110001010000000000000000000100110111000001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100011010000000000000000010000000000100000000000000011100010100000000000000000001001101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001001011101001110100000000000000000100000000001000000000000000111000101000000000000000000010011011100000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010010010111010011101000000000000000001000000000010000000000000001110001010000000000000000000110110111000001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100111010000000000000000010000000000100000000000000011100010100000000000000000001101101110000011110000000000000100000000000001111111110100110001110110101000011011010010000001101101001001101011101001110100000000000000000100000000001000000000000000111000101000000000000000000011011011100000111100000000000001000000000000011111111101001100011101101010000110110100100000011011010010010010111010001101000000100000000001000000000010000000000000001110001010000000000000000000110110111000001111000000000000010000000000000111111111010011000111011010100001101101001000000110110100100100101110100011010000001000000000010000000000100000000000000011100010100000000000000000001011101110000011110000000000000100000000000001111111110100110001110110101000011011010010000001101101001001101011101000110100000010000000000100000000001000000000000000111000101000000000000000000010111011100000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010011010111010001101000000100000000001000000000010000000000000001110001010000000000000000000101110111000001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100100101110100111010000001000000000010000000000100000000000000011100010100000000000000000001011101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001001011101001110100000010000000000100000000001000000000000000111000101000000000000000000011111011100000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010011010111010011101000000100000000001000000000010000000000000001110001010000000000000000000111110111000001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100111010000001000000000010000000000100000000000000011100010100000000000000000001111101110000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001001011101000110100000000000000000000000000000000000000010000111000101000000000000000000011111011100000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010010010111010001101000000000000000000000000000000000000000100001110001010000000000000000000100001111000001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100011010000000000000000000000000000000000000001000011100010100000000000000000001000011110000011110000000000000100000010000001111111110101110001110110101000011011010010000001101101001001101011101000110100000000000000000000000000000000000000010000111000101000000000000000000010000111100000111100000000000001000000100000011111111101011100011101101010000110110100100000011011010010010010111010011101000000000000000000000000000000000000000100001110001010000000000000000000100001111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100111010000000000000000000000000000000000000001000011100010100000000000000000001100011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101001110100000000000000000000000000000000000000010000111000101000000000000000000011000111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010011101000000000000000000000000000000000000000100001110001010000000000000000000110001111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100011010000001000000000000000000000000000000001000011100010100000000000000000001100011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101000110100000010000000000000000000000000000000010000111000101000000000000000000010100111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010001101000000100000000000000000000000000000000100001110001010000000000000000000101001111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100011010000001000000000000000000000000000000001000011100010100000000000000000001010011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101001110100000010000000000000000000000000000000010000111000101000000000000000000010100111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010011101000000100000000000000000000000000000000100001110001010000000000000000000111001111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100111010000001000000000000000000000000000000001000011100010100000000000000000001110011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101001110100000010000000000000000000000000000000010000111000101000000000000000000011100111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010001101000000000000000001000000000000000000000100001110001010000000000000000000111001111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100011010000000000000000010000000000000000000001000011100010100000000000000000001001011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101000110100000000000000000100000000000000000000010000111000101000000000000000000010010111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010001101000000000000000001000000000000000000000100001110001010000000000000000000100101111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100111010000000000000000010000000000000000000001000011100010100000000000000000001001011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101001110100000000000000000100000000000000000000010000111000101000000000000000000011010111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010011101000000000000000001000000000000000000000100001110001010000000000000000000110101111000001111000000000000010000000000000111111111010111000111011010110000000101001000000110110100100110101110100111010000000000000000010000000000000000000001000011100010100000000000000000001101011110000011110000000000000100000000000001111111110101110001110110101100000001010010000001101101001001001011101000110100000010000000000100000000000000000000010000111000101000000000000000000011010111100000111100000000000001000000000000011111111101011100011101101011000000010100100000011011010010010010111010001101000000100000000001000000000000000000000100001110001010000000000000000000101101111000001111000000000000010000000000000111111111010111000111011010110000000101001000000110110100100110101110100011010000001000000000010000000000000000000001000011100010100000000000000000001011011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101000110100000010000000000100000000000000000000010000111000101000000000000000000010110111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010011101000000100000000001000000000000000000000100001110001010000000000000000000101101111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100111010000001000000000010000000000000000000001000011100010100000000000000000001111011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101001110100000010000000000100000000000000000000010000111000101000000000000000000011110111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010011101000000100000000001000000000000000000000100001110001010000000000000000000111101111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100011010000000000000000000000000000100000000001000011100010100000000000000000001111011110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101000110100000000000000000000000000001000000000010000111000101000000000000000000010001111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010001101000000000000000000000000000010000000000100001110001010000000000000000000100011111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100011010000000000000000000000000000100000000001000011100010100000000000000000001000111110000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101001110100000000000000000000000000001000000000010000111000101000000000000000000010001111100000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010011101000000000000000000000000000010000000000100001110001010000000000000000000110011111000001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100111010000000000000000000000000000100000000001000011100010100000000000000000001100111110000011110000000000000100000010000001111111110101110001001110101100000001010010000001101101001001101011101001110100000000000000000000000000001000000000010000111000101000000000000000000011001111100000111100000000000001000000100000011111111101011100010011101011000000010100100000011011010010010010111010001101000000100000000000000000000010000000000100001110001010000000000000000000110011111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100011010000001000000000000000000000100000000001000011100010100000000000000000001010111110000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101000110100000010000000000000000000001000000000010000111000101000000000000000000010101111100000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010001101000000100000000000000000000010000000000100001110001010000000000000000000101011111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100111010000001000000000000000000000100000000001000011100010100000000000000000001010111110000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101001110100000010000000000000000000001000000000010000111000101000000000000000000011101111100000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010011101000000100000000000000000000010000000000100001110001010000000000000000000111011111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100111010000001000000000000000000000100000000001000011100010100000000000000000001110111110000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101000110100000000000000000100000000001000000000010000111000101000000000000000000011101111100000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010001101000000000000000001000000000010000000000100001110001010000000000000000000100111111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100011010000000000000000010000000000100000000001000011100010100000000000000000001001111110000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101000110100000000000000000100000000001000000000010000111000101000000000000000000010011111100000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010011101000000000000000001000000000010000000000100001110001010000000000000000000100111111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100111010000000000000000010000000000100000000001000011100010100000000000000000001101111110000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101001110100000000000000000100000000001000000000010000111000101000000000000000000011011111100000111100000000000001000000000000011111111101011100010011101011000110110100100000011011010010011010111010011101000000000000000001000000000010000000000100001110001010000000000000000000110111111000001111000000000000010000000000000111111111010111000100111010110001101101001000000110110100100100101110100011010000001000000000010000000000100000000001000011100010100000000000000000001101111110000011110000000000000100000000000001111111110101110001001110101100011011010010000001101101001001001011101000110100000010000000000100000000001000000000010000111000101000000000000000000010111111100000111100000000000001000000000000011111111101011100010011101011000110110100100000011011010010011010111010001101000000100000000001000000000010000000000100001110001010000000000000000000101111111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100011010000001000000000010000000000100000000001000011100010100000000000000000001011111110000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101001110100000010000000000100000000001000000000010000111000101000000000000000000010111111100000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010011101000000100000000001000000000010000000000100001110001010000000000000000000111111111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100111010000001000000000010000000000100000000001000011100010100000000000000000001111111110000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101001110100000010000000000100000000001000000000010000111000101000000000000000000011111111100000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010001101000000000000000000000000000000000000000000000001001010000000000000000000111111111000001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100011010000000000000000000000000000000000000000000000010010100000000000000000001000000001000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101000110100000000000000000000000000000000000000000000000100101000000000000000000010000000010000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010001101000000000000000000000000000000000000000000000001001010000000000000000000100000000100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100111010000000000000000000000000000000000000000000000010010100000000000000000001000000001000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101001110100000000000000000000000000000000000000000000000100101000000000000000000011000000010000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010011101000000000000000000000000000000000000000000000001001010000000000000000000110000000100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100111010000000000000000000000000000000000000000000000010010100000000000000000001100000001000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101000110100000010000000000000000000000000000000000000000100101000000000000000000011000000010000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010001101000000100000000000000000000000000000000000000001001010000000000000000000101000000100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100011010000001000000000000000000000000000000000000000010010100000000000000000001010000001000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101000110100000010000000000000000000000000000000000000000100101000000000000000000010100000010000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010011101000000100000000000000000000000000000000000000001001010000000000000000000101000000100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100111010000001000000000000000000000000000000000000000010010100000000000000000001110000001000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101001110100000010000000000000000000000000000000000000000100101000000000000000000011100000010000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010011010111010011101000000100000000000000000000000000000000000000001001010000000000000000000111000000100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100100101110100011010000000000000000010000000000000000000000000000010010100000000000000000001110000001000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001001011101000110100000000000000000100000000000000000000000000000100101000000000000000000010010000010000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010011010111010001101000000000000000001000000000000000000000000000001001010000000000000000000100100000100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100011010000000000000000010000000000000000000000000000010010100000000000000000001001000001000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001001011101001110100000000000000000100000000000000000000000000000100101000000000000000000010010000010000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010010010111010011101000000000000000001000000000000000000000000000001001010000000000000000000110100000100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100111010000000000000000010000000000000000000000000000010010100000000000000000001101000001000011110000000000000100000000000001111111110101110001001110101100011011010010010001101101001001101011101001110100000000000000000100000000000000000000000000000100101000000000000000000011010000010000111100000000000001000000000000011111111101011100010011101011000110110100100100011011010010010010111010001101000000100000000001000000000000000000000000000001001010000000000000000000110100000100001111000000000000010000000000000111111111010111000100111010110001101101001001000110110100100100101110100011010000001000000000010000000000000000000000000000010010100000000000000000001011000001000011110000000000000100000000000001111111110101110001001110101100011011010010010001101101001001101011101000110100000010000000000100000000000000000000000000000100101000000000000000000010110000010000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010011010111010001101000000100000000001000000000000000000000000000001001010000000000000000000101100000100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100100101110100111010000001000000000010000000000000000000000000000010010100000000000000000001011000001000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001001011101001110100000010000000000100000000000000000000000000000100101000000000000000000011110000010000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010011010111010011101000000100000000001000000000000000000000000000001001010000000000000000000111100000100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100111010000001000000000010000000000000000000000000000010010100000000000000000001111000001000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001001011101000110100000000000000000000000000001000000000000000000100101000000000000000000011110000010000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010010010111010001101000000000000000000000000000010000000000000000001001010000000000000000000100010000100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100011010000000000000000000000000000100000000000000000010010100000000000000000001000100001000011110000000000000100000010000001111111110100110001101110101100011011010010010001101101001001101011101000110100000000000000000000000000001000000000000000000100101000000000000000000010001000010000111100000000000001000000100000011111111101001100011011101011000110110100100100011011010010010010111010011101000000000000000000000000000010000000000000000001001010000000000000000000100010000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100100101110100111010000000000000000000000000000100000000000000000010010100000000000000000001100100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001101011101001110100000000000000000000000000001000000000000000000100101000000000000000000011001000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010011010111010011101000000000000000000000000000010000000000000000001001010000000000000000000110010000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100100101110100011010000001000000000000000000000100000000000000000010010100000000000000000001100100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001001011101000110100000010000000000000000000001000000000000000000100101000000000000000000010101000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010011010111010001101000000100000000000000000000010000000000000000001001010000000000000000000101010000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100110101110100011010000001000000000000000000000100000000000000000010010100000000000000000001010100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001001011101001110100000010000000000000000000001000000000000000000100101000000000000000000010101000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010010010111010011101000000100000000000000000000010000000000000000001001010000000000000000000111010000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100110101110100111010000001000000000000000000000100000000000000000010010100000000000000000001110100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001101011101001110100000010000000000000000000001000000000000000000100101000000000000000000011101000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010010010111010001101000000000000000001000000000010000000000000000001001010000000000000000000111010000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100100101110100011010000000000000000010000000000100000000000000000010010100000000000000000001001100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001101011101000110100000000000000000100000000001000000000000000000100101000000000000000000010011000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010011010111010001101000000000000000001000000000010000000000000000001001010000000000000000000100110000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100100101110100111010000000000000000010000000000100000000000000000010010100000000000000000001001100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001001011101001110100000000000000000100000000001000000000000000000100101000000000000000000011011000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010011010111010011101000000000000000001000000000010000000000000000001001010000000000000000000110110000100001111000000000000010000000000000111111111010011000110111010100000000101001001000110110100100110101110100111010000000000000000010000000000100000000000000000010010100000000000000000001101100001000011110000000000000100000000000001111111110100110001101110101000000001010010010001101101001001001011101000110100000010000000000100000000001000000000000000000100101000000000000000000011011000010000111100000000000001000000000000011111111101001100011011101010000000010100100100011011010010010010111010001101000000100000000001000000000010000000000000000001001010000000000000000000101110000100001111000000000000010000000000000111111111010011000110111010100000000101001001000110110100100110101110100011010000001000000000010000000000100000000000000000010010100000000000000000001011100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001101011101000110100000010000000000100000000001000000000000000000100101000000000000000000010111000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010010010111010011101000000100000000001000000000010000000000000000001001010000000000000000000101110000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100100101110100111010000001000000000010000000000100000000000000000010010100000000000000000001111100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001101011101001110100000010000000000100000000001000000000000000000100101000000000000000000011111000010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010011010111010011101000000100000000001000000000010000000000000000001001010000000000000000000111110000100001111000000000000010000001000000111111111010011000110111010100000000101001001000110110100100100101110100011010000000000000000000000000000000000000001000000010010100000000000000000001111100001000011110000000000000100000010000001111111110100110001101110101000000001010010010001101101001001001011101000110100000000000000000000000000000000000000010000000100101000000000000000000010000100010000111100000000000001000000100000011111111101001100011011101010000000010100100100011011010010011010111010001101000000000000000000000000000000000000000100000001001010000000000000000000100001000100001111000000000000010000001000000111111111010000000000000000000000000101001001000110110100100110101110100011010000000000000000000000000000000000000001000000010010100000000000000000001000010001000011110000000000000100000010000001111111110100000000000000000000000001010010010001101101001001001011101001110100000000000000000000000000000000000000010000000100101000000000000000000010000100010000111100000000000001000000100000011111111101000000000000000000001111010100100100011011010010010010111010011101000000000000000000000000000000000000000100000001001010000000000000000000110001000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100110101110100111010000000000000000000000000000000000000001000000010010100000000000000000001100010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001101011101001110100000000000000000000000000000000000000010000000100101000000000000000000011000100010000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010010010111010001101000000100000000000000000000000000000000100000001001010000000000000000000110001000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100100101110100011010000001000000000000000000000000000000001000000010010100000000000000000001010010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001101011101000110100000010000000000000000000000000000000010000000100101000000000000000000010100100010000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010011010111010001101000000100000000000000000000000000000000100000001001010000000000000000000101001000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100100101110100111010000001000000000000000000000000000000001000000010010100000000000000000001010010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001001011101001110100000010000000000000000000000000000000010000000100101000000000000000000011100100010000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010011010111010011101000000100000000000000000000000000000000100000001001010000000000000000000111001000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100110101110100111010000001000000000000000000000000000000001000000010010100000000000000000001110010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001001011101000110100000000000000000100000000000000000000010000000100101000000000000000000011100100010000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010010010111010001101000000000000000001000000000000000000000100000001001010000000000000000000100101000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100110101110100011010000000000000000010000000000000000000001000000010010100000000000000000001001010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001101011101000110100000000000000000100000000000000000000010000000100101000000000000000000010010100010000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010010010111010011101000000000000000001000000000000000000000100000001001010000000000000000000100101000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100100101110100111010000000000000000010000000000000000000001000000010010100000000000000000001101010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001101011101001110100000000000000000100000000000000000000010000000100101000000000000000000011010100010000111100000000000001000000000000011111111101000000000000000000010101010100100100011011010010011010111010011101000000000000000001000000000000000000000100000001001010000000000000000000110101000100001111000000000000010000000000000111111111010000000000000000000101010101001001000110110100100100101110100011010000001000000000010000000000000000000001000000010010100000000000000000001101010001000011110000000000000100000000000001111111110100000000000000000001010101010010010001101101001001001011101000110100000010000000000100000000000000000000010000000100101000000000000000000010110100010000111100000000000001000000000000011111111101000000000000000000010101010100100100011011010010011010111010001101000000100000000001000000000000000000000100000001001010000000000000000000101101000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100110101110100011010000001000000000010000000000000000000001000000010010100000000000000000001011010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001001011101001110100000010000000000100000000000000000000010000000100101000000000000000000010110100010000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010010010111010011101000000100000000001000000000000000000000100000001001010000000000000000000111101000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100110101110100111010000001000000000010000000000000000000001000000010010100000000000000000001111010001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001101011101001110100000010000000000100000000000000000000010000000100101000000000000000000011110100010000111100000000000001000000100000011111111101000000000000000000010101010100100100011011010010010010111010001101000000000000000000000000000010000000000100000001001010000000000000000000111101000100001111000000000000010000001000000111111111010000000000000000000101010101001001000110110100100100101110100011010000000000000000000000000000100000000001000000010010100000000000000000001000110001000011110000000000000100000010000001111111110100000000000000000001010101010010010001101101001001101011101000110100000000000000000000000000001000000000010000000100101000000000000000000010001100010000111100000000000001000000100000011111111101010000000000000000010101010100100100011011010010011010111010001101000000000000000000000000000010000000000100000001001010000000000000000000100011000100001111000000000000010000001000000111111111010100000000000000000101010101001001000110110100100100101110100111010000000000000000000000000000100000000001000000010010100000000000000000001000110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101001110100000000000000000000000000001000000000010000000100101000000000000000000011001100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010011101000000000000000000000000000010000000000100000001001010000000000000000000110011000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100111010000000000000000000000000000100000000001000000010010100000000000000000001100110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101000110100000010000000000000000000001000000000010000000100101000000000000000000011001100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010010010111010001101000000100000000000000000000010000000000100000001001010000000000000000000101011000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100011010000001000000000000000000000100000000001000000010010100000000000000000001010110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001101011101000110100000010000000000000000000001000000000010000000100101000000000000000000010101100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010010010111010011101000000100000000000000000000010000000000100000001001010000000000000000000101011000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100111010000001000000000000000000000100000000001000000010010100000000000000000001110110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001101011101001110100000010000000000000000000001000000000010000000100101000000000000000000011101100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010011101000000100000000000000000000010000000000100000001001010000000000000000000111011000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100011010000000000000000010000000000100000000001000000010010100000000000000000001110110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101000110100000000000000000100000000001000000000010000000100101000000000000000000010011100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010001101000000000000000001000000000010000000000100000001001010000000000000000000100111000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100011010000000000000000010000000000100000000001000000010010100000000000000000001001110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101001110100000000000000000100000000001000000000010000000100101000000000000000000010011100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010010010111010011101000000000000000001000000000010000000000100000001001010000000000000000000110111000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100111010000000000000000010000000000100000000001000000010010100000000000000000001101110001000011110000000000000100000000000001111111110101000000000000000110000001010010010001101101001001101011101001110100000000000000000100000000001000000000010000000100101000000000000000000011011100010000111100000000000001000000000000011111111101010000000000000001100000010100100100011011010010010010111010001101000000100000000001000000000010000000000100000001001010000000000000000000110111000100001111000000000000010000000000000111111111010100000000000000011000000101001001000110110100100100101110100011010000001000000000010000000000100000000001000000010010100000000000000000001011110001000011110000000000000100000000000001111111110101000000000000000110000001010010010001101101001001101011101000110100000010000000000100000000001000000000010000000100101000000000000000000010111100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010001101000000100000000001000000000010000000000100000001001010000000000000000000101111000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100100101110100111010000001000000000010000000000100000000001000000010010100000000000000000001011110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101001110100000010000000000100000000001000000000010000000100101000000000000000000011111100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010011010111010011101000000100000000001000000000010000000000100000001001010000000000000000000111111000100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100111010000001000000000010000000000100000000001000000010010100000000000000000001111110001000011110000000000000100000010000001111111110101000000000000000110000001010010010001101101001001001011101000110100000000000000000000000000000000000000000000100100101000000000000000000011111100010000111100000000000001000000100000011111111101010000000000000001100000010100100100011011010010010010111010001101000000000000000000000000000000000000000000001001001010000000000000000000100000100100001111000000000000010000001000000111111111010100000000000000011000000101001001000110110100100110101110100011010000000000000000000000000000000000000000000010010010100000000000000000001000001001000011110000000000000100000010000001111111110101000000110101011110000001010010010001101101001001101011101000110100000000000000000000000000000000000000000000100100101000000000000000000010000010010000111100000000000001000000100000011111111101010000001101010111100000010100100100011011010010010010111010011101000000000000000000000000000000000000000000001001001010000000000000000000100000100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100100101110100111010000000000000000000000000000000000000000000010010010100000000000000000001100001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001001101011101001110100000000000000000000000000000000000000000000100100101000000000000000000011000010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010011010111010011101000000000000000000000000000000000000000000001001001010000000000000000000110000100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100100101110100011010000001000000000000000000000000000000000000010010010100000000000000000001100001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001001001011101000110100000010000000000000000000000000000000000000100100101000000000000000000010100010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010011010111010001101000000100000000000000000000000000000000000001001001010000000000000000000101000100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100110101110100011010000001000000000000000000000000000000000000010010010100000000000000000001010001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001001001011101001110100000010000000000000000000000000000000000000100100101000000000000000000010100010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010010010111010011101000000100000000000000000000000000000000000001001001010000000000000000000111000100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100100110101110100111010000001000000000000000000000000000000000000010010010100000000000000000001110001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001001101011101001110100000010000000000000000000000000000000000000100100101000000000000000000011100010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010110010111010001101000000000000000001000000000000000000000000001001001010000000000000000000111000100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101100101110100011010000000000000000010000000000000000000000000010010010100000000000000000001001001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011101011101000110100000000000000000100000000000000000000000000100100101000000000000000000010010010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010111010111010001101000000000000000001000000000000000000000000001001001010000000000000000000100100100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101100101110100111010000000000000000010000000000000000000000000010010010100000000000000000001001001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011001011101001110100000000000000000100000000000000000000000000100100101000000000000000000011010010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010111010111010011101000000000000000001000000000000000000000000001001001010000000000000000000110100100100001111000000000000010000000000000111111111010100000011010101111111111101001001000110110100101110101110100111010000000000000000010000000000000000000000000010010010100000000000000000001101001001000011110000000000000100000000000001111111110101000000110101011111111111010010010001101101001011001011101000110100000010000000000100000000000000000000000000100100101000000000000000000011010010010000111100000000000001000000000000011111111101010000001101010111111111110100100100011011010010110010111010001101000000100000000001000000000000000000000000001001001010000000000000000000101100100100001111000000000000010000000000000111111111010100000011010101111111111101001001000110110100101110101110100011010000001000000000010000000000000000000000000010010010100000000000000000001011001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011101011101000110100000010000000000100000000000000000000000000100100101000000000000000000010110010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010110010111010011101000000100000000001000000000000000000000000001001001010000000000000000000101100100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101100101110100111010000001000000000010000000000000000000000000010010010100000000000000000001111001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011101011101001110100000010000000000100000000000000000000000000100100101000000000000000000011110010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010111010111010011101000000100000000001000000000000000000000000001001001010000000000000000000111100100100001111000000000000010000001000000111111111010100000011010101111111111101001001000110110100101100101110100011010000000000000000000000000000100000000000000010010010100000000000000000001111001001000011110000000000000100000010000001111111110101000000110101011111111111010010010001101101001011001011101000110100000000000000000000000000001000000000000000100100101000000000000000000010001010010000111100000000000001000000100000011111111101010000001101010111111111110100100100011011010010111010111010001101000000000000000000000000000010000000000000001001001010000000000000000000100010100100001111000000000000010000001000000111111111010111000110111010111111111101001011000110110100101110101110100011010000000000000000000000000000100000000000000010010010100000000000000000001000101001000011110000000000000100000010000001111111110101110001101110101111111111010010110001101101001011001011101001110100000000000000000000000000001000000000000000100100101000000000000000000010001010010000111100000000000001000000100000011111111101011100011011101010000000010100101100011011010010110010111010011101000000000000000000000000000010000000000000001001001010000000000000000000110010100100001111000000000000010000001000000111111111010111000110111010110110001101001011000110110100101110101110100111010000000000000000000000000000100000000000000010010010100000000000000000001100101001000011110000000000000100000010000001111111110101110001101110101101100011010010110001101101001011101011101001110100000000000000000000000000001000000000000000100100101000000000000000000011001010010000111100000000000001000000100000011111111101011100011011101011011000110100101100011011010010110010111010001101000000100000000000000000000010000000000000001001001010000000000000000000110010100100001111000000000000010000001000000111111111010111000110111010110110001101001011000110110100101100101110100011010000001000000000000000000000100000000000000010010010100000000000000000001010101001000011110000000000000100000010000001111111110101110001101110101101100011010010110001101101001011101011101000110100000010000000000000000000001000000000000000100100101000000000000000000010101010010000111100000000000001000000100000011111111101011100011011101011011000110100101100011011010010111010111010001101000000100000000000000000000010000000000000001001001010000000000000000000101010100100001111000000000000010000001000000111111111010111000110111010110110001101001011000110110100101100101110100111010000001000000000000000000000100000000000000010010010100000000000000000001010101001000011110000000000000100000010000001111111110101110001101110101101100011010010110001101101001011001011101001110100000010000000000000000000001000000000000000100100101000000000000000000011101010010000111100000000000001000000100000011111111101011100011011101011011000110100101100011011010010111010111010011101000000100000000000000000000010000000000000001001001010000000000000000000111010100100001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100111010000001000000000000000000000100000000000000010010010100000000000000000001110101001000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011001011101000110100000000000000000100000000001000000000000000100100101000000000000000000011101010010000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010110010111010001101000000000000000001000000000010000000000000001001001010000000000000000000100110100100001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100011010000000000000000010000000000100000000000000010010010100000000000000000001001101001000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011101011101000110100000000000000000100000000001000000000000000100100101000000000000000000010011010010000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010110010111010011101000000000000000001000000000010000000000000001001001010000000000000000000100110100100001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101100101110100111010000000000000000010000000000100000000000000010010010100000000000000000001101101001000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011101011101001110100000000000000000100000000001000000000000000100100101000000000000000000011011010010000111100000000000001000000000000011111111101011100011011101011011000110100101101100011010010111010111010011101000000000000000001000000000010000000000000001001001010000000000000000000110110100100001111000000000000010000000000000111111111010111000110111010110110001101001011011000110100101100101110100011010000001000000000010000000000100000000000000010010010100000000000000000001101101001000011110000000000000100000000000001111111110101110001101110101101100011010010110110001101001011001011101000110100000010000000000100000000001000000000000000100100101000000000000000000010111010010000111100000000000001000000000000011111111101011100011011101011011000110100101101100011010010111010111010001101000000100000000001000000000010000000000000001001001010000000000000000000101110100100001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100011010000001000000000010000000000100000000000000010010010100000000000000000001011101001000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011001011101001110100000010000000000100000000001000000000000000100100101000000000000000000010111010010000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010110010111010011101000000100000000001000000000010000000000000001001001010000000000000000000111110100100001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101110101110100111010000001000000000010000000000100000000000000010010010100000000000000000001111101001000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011101011101001110100000010000000000100000000001000000000000000100100101000000000000000000011111010010000111100000000000001000000100000011111111101011100011011101011011000110100101101100011010010110010111010001101000000000000000000000000000000000000000100001001001010000000000000000000111110100100001111000000000000010000001000000111111111010111000110111010110110001101001011011000110100101100101110100011010000000000000000000000000000000000000001000010010010100000000000000000001000011001000011110000000000000100000010000001111111110101110001101110101101100011010010110110001101001011101011101000110100000000000000000000000000000000000000010000100100101000000000000000000010000110010000111100000000000001000000100000011111111101001100010111101011011000110100101101100011010010111010111010001101000000000000000000000000000000000000000100001001001010000000000000000000100001100100001111000000000000010000001000000111111111010011000101111010110110001101001011011000110100101100101110100111010000000000000000000000000000000000000001000010010010100000000000000000001000011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101001110100000000000000000000000000000000000000010000100100101000000000000000000011000110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010011101000000000000000000000000000000000000000100001001001010000000000000000000110001100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100111010000000000000000000000000000000000000001000010010010100000000000000000001100011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101000110100000010000000000000000000000000000000010000100100101000000000000000000011000110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010110010111010001101000000100000000000000000000000000000000100001001001010000000000000000000101001100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100011010000001000000000000000000000000000000001000010010010100000000000000000001010011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011101011101000110100000010000000000000000000000000000000010000100100101000000000000000000010100110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010110010111010011101000000100000000000000000000000000000000100001001001010000000000000000000101001100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100111010000001000000000000000000000000000000001000010010010100000000000000000001110011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011101011101001110100000010000000000000000000000000000000010000100100101000000000000000000011100110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010011101000000100000000000000000000000000000000100001001001010000000000000000000111001100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100011010000000000000000010000000000000000000001000010010010100000000000000000001110011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101000110100000000000000000100000000000000000000010000100100101000000000000000000010010110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010001101000000000000000001000000000000000000000100001001001010000000000000000000100101100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100011010000000000000000010000000000000000000001000010010010100000000000000000001001011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101001110100000000000000000100000000000000000000010000100100101000000000000000000010010110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010110010111010011101000000000000000001000000000000000000000100001001001010000000000000000000110101100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100111010000000000000000010000000000000000000001000010010010100000000000000000001101011001000011110000000000000100000000000001111111110100110001011110101111000001010010110110001101001011101011101001110100000000000000000100000000000000000000010000100100101000000000000000000011010110010000111100000000000001000000000000011111111101001100010111101011110000010100101101100011010010110010111010001101000000100000000001000000000000000000000100001001001010000000000000000000110101100100001111000000000000010000000000000111111111010011000101111010111100000101001011011000110100101100101110100011010000001000000000010000000000000000000001000010010010100000000000000000001011011001000011110000000000000100000000000001111111110100110001011110101111000001010010110110001101001011101011101000110100000010000000000100000000000000000000010000100100101000000000000000000010110110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010001101000000100000000001000000000000000000000100001001001010000000000000000000101101100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101100101110100111010000001000000000010000000000000000000001000010010010100000000000000000001011011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101001110100000010000000000100000000000000000000010000100100101000000000000000000011110110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010111010111010011101000000100000000001000000000000000000000100001001001010000000000000000000111101100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100111010000001000000000010000000000000000000001000010010010100000000000000000001111011001000011110000000000000100000010000001111111110100110001011110101111000001010010110110001101001011001011101000110100000000000000000000000000001000000000010000100100101000000000000000000011110110010000111100000000000001000000100000011111111101001100010111101011110000010100101101100011010010110010111010001101000000000000000000000000000010000000000100001001001010000000000000000000100011100100001111000000000000010000001000000111111111010011000101111010111100000101001011011000110100101110101110100011010000000000000000000000000000100000000001000010010010100000000000000000001000111001000011110000000000000100000010000001111111110101110001011110101111000001010010110110001101001011101011101000110100000000000000000000000000001000000000010000100100101000000000000000000010001110010000111100000000000001000000100000011111111101011100010111101011110000010100101101100011010010110010111010011101000000000000000000000000000010000000000100001001001010000000000000000000100011100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100111010000000000000000000000000000100000000001000010010010100000000000000000001100111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101001110100000000000000000000000000001000000000010000100100101000000000000000000011001110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010011101000000000000000000000000000010000000000100001001001010000000000000000000110011100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100011010000001000000000000000000000100000000001000010010010100000000000000000001100111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011001011101000110100000010000000000000000000001000000000010000100100101000000000000000000010101110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010001101000000100000000000000000000010000000000100001001001010000000000000000000101011100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101110101110100011010000001000000000000000000000100000000001000010010010100000000000000000001010111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011001011101001110100000010000000000000000000001000000000010000100100101000000000000000000010101110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010011101000000100000000000000000000010000000000100001001001010000000000000000000111011100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101110101110100111010000001000000000000000000000100000000001000010010010100000000000000000001110111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101001110100000010000000000000000000001000000000010000100100101000000000000000000011101110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010001101000000000000000001000000000010000000000100001001001010000000000000000000111011100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100011010000000000000000010000000000100000000001000010010010100000000000000000001001111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101000110100000000000000000100000000001000000000010000100100101000000000000000000010011110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010001101000000000000000001000000000010000000000100001001001010000000000000000000100111100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100111010000000000000000010000000000100000000001000010010010100000000000000000001001111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011001011101001110100000000000000000100000000001000000000010000100100101000000000000000000011011110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010011101000000000000000001000000000010000000000100001001001010000000000000000000110111100100001111000000000000010000000000000111111111010111000101111010100000100101001011011000110100101110101110100111010000000000000000010000000000100000000001000010010010100000000000000000001101111001000011110000000000000100000000000001111111110101110001011110101000001001010010110110001101001011001011101000110100000010000000000100000000001000000000010000100100101000000000000000000011011110010000111100000000000001000000000000011111111101011100010111101010000010010100101101100011010010110010111010001101000000100000000001000000000010000000000100001001001010000000000000000000101111100100001111000000000000010000000000000111111111010111000101111010100000100101001011011000110100101110101110100011010000001000000000010000000000100000000001000010010010100000000000000000001011111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101000110100000010000000000100000000001000000000010000100100101000000000000000000010111110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010110010111010011101000000100000000001000000000010000000000100001001001010000000000000000000101111100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100111010000001000000000010000000000100000000001000010010010100000000000000000001111111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011101011101001110100000010000000000100000000001000000000010000100100101000000000000000000011111110010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010011101000000100000000001000000000010000000000100001001001010000000000000000000111111100100001111000000000000010000001000000111111111010111000101111010100000100101001011011000110100101100101110100011010000000000000000000000000000000000000000000001010010100000000000000000001111111001000011110000000000000100000010000001111111110101110001011110101000001001010010110110001101001011001011101000110100000000000000000000000000000000000000000000010100101000000000000000000010000001010000111100000000000001000000100000011111111101011100010111101010000010010100101101100011010010111010111010001101000000000000000000000000000000000000000000000101001010000000000000000000100000010100001111000000000000010000001000000111111111010100010011000000000000100111111111011000110100101110101110100011010000000000000000000000000000000000000000000001010010100000000000000000001000000101000011110000000000000100000010000001111111111101000100110000000000001001111111110110001101001011001011101001110100000000000000000000000000000000000000000000010100101000000000000000000010000001010000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010011101000000000000000000000000000000000000000000000101001010000000000000000000110000010100001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100111010000000000000000000000000000000000000000000001010010100000000000000000001100000101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011101011101001110100000000000000000000000000000000000000000000010100101000000000000000000011000001010000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010001101000000100000000000000000000000000000000000000101001010000000000000000000110000010100001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101100101110100011010000001000000000000000000000000000000000000001010010100000000000000000001010000101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011101011101000110100000010000000000000000000000000000000000000010100101000000000000000000010100001010000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010111010111010001101000000100000000000000000000000000000000000000101001010000000000000000000101000010100001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101100101110100111010000001000000000000000000000000000000000000001010010100000000000000000001010000101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101001110100000010000000000000000000000000000000000000010100101000000000000000000011100001010000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010111010111010011101000000100000000000000000000000000000000000000101001010000000000000000000111000010100001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100111010000001000000000000000000000000000000000000001010010100000000000000000001110000101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101000110100000000000000000100000000000000000000000000010100101000000000000000000011100001010000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010001101000000000000000001000000000000000000000000000101001010000000000000000000100100010100001111000000000000010000001000000111111111100100010011000000011111111111111111011000110100101110101110100011010000000000000000010000000000000000000000000001010010100000000000000000001001000101000011110000000000000100000010000001111111111001000100110000000111111111111111110110001101001011101011101000110100000000000000000100000000000000000000000000010100101000000000000000000010010001010000111100000000000001000000100000011111111110010001001100000001111111111111111101100011010010110010111010011101000000000000000001000000000000000000000000000101001010000000000000000000100100010100001111000000000000010000001000000111111111100100010011000000011111111111111111011000110100101100101110100111010000000000000000010000000000000000000000000001010010100000000000000000001101000101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011101011101001110100000000000000000100000000000000000000000000010100101000000000000000000011010001010000111100000000000001000000000000011111111111010001001100000001111111111111111101100011010010111010111010011101000000000000000001000000000000000000000000000101001010000000000000000000110100010100001111000000000000010000000000000111111111110100010011000000011111111111111111011000110100101100101110100011010000001000000000010000000000000000000000000001010010100000000000000000001101000101000011110000000000000100000000000001111111111101000100110000000111111111111111110110001101001011001011101000110100000010000000000100000000000000000000000000010100101000000000000000000010110001010000111100000000000001000000000000011111111111010001001100000001111111111111111101100011010010111010111010001101000000100000000001000000000000000000000000000101001010000000000000000000101100010100001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100011010000001000000000010000000000000000000000000001010010100000000000000000001011000101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011001011101001110100000010000000000100000000000000000000000000010100101000000000000000000010110001010000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010011101000000100000000001000000000000000000000000000101001010000000000000000000111100010100001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101110101110100111010000001000000000010000000000000000000000000001010010100000000000000000001111000101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011101011101001110100000010000000000100000000000000000000000000010100101000000000000000000011110001010000111100000000000001000000100000011111111111010001001100000001111111111111111101100011010010110010111010001101000000000000000000000000000010000000000000000101001010000000000000000000111100010100001111000000000000010000001000000111111111110100010011000000011111111111111111011000110100101100101110100011010000000000000000000000000000100000000000000001010010100000000000000000001000100101000011110000000000000100000010000001111111111101000100110000000111111111111111110110001101001011101011101000110100000000000000000000000000001000000000000000010100101000000000000000000010001001010000111100000000000001000000100000011111111111001100011111101011111111110100101101100011010010111010111010001101000000000000000000000000000010000000000000000101001010000000000000000000100010010100001111000000000000010000001000000111111111010011000111111010111111111101001011011000110100101100101110100111010000000000000000000000000000100000000000000001010010100000000000000000001000100101000011110000000000000100000010000001111111110100110001111110101111111111010010110110001101001011001011101001110100000000000000000000000000001000000000000000010100101000000000000000000011001001010000111100000000000001000000100000011111111101001100011111101010101001110100101101100011010010111010111010011101000000000000000000000000000010000000000000000101001010000000000000000000110010010100001111000000000000010000001000000111111111010011000111111010101010011101001011011000110100101110101110100111010000000000000000000000000000100000000000000001010010100000000000000000001100100101000011110000000000000100000010000001111111110100110001111110101010100111010010110110001101001011001011101000110100000010000000000000000000001000000000000000010100101000000000000000000011001001010000111100000000000001000000100000011111111101001100011111101010101001110100101101100011010010110010111010001101000000100000000000000000000010000000000000000101001010000000000000000000101010010100001111000000000000010000001000000111111111010011000111111010101010011101001011011000110100101110101110100011010000001000000000000000000000100000000000000001010010100000000000000000001010100101000011110000000000000100000010000001111111110100110001111110101010100111010010110110001101001011101011101000110100000010000000000000000000001000000000000000010100101000000000000000000000101001010000111100000000000001000000100000011111111101001100011111101010101001110100101101100011010010110010111010011101000000100000000000000000000010000000000000000101001010000000000000000000001010010100001111000000000000010000001000000111111111010011000111111010101010011101001011011000110100101100101110100111010000001000000000000000000000100000000000000001010010100000000000000000000110100101000011110000000000000100000010000001111111110100110001111110101010100111010010110110001101001011101011101001110100000010000000000000000000001000000000000000010100101000000000000000000001101001010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000100000000000000000000010000000000000000101001010000000000000000000011010010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100011010000000000000000010000000000100000000000000001010010100000000000000000000110100101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101000110100000000000000000100000000001000000000000000010100101000000000000000000000011001010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010001101000000000000000001000000000010000000000000000101001010000000000000000000000110010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100011010000000000000000010000000000100000000000000001010010100000000000000000000001100101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000000000000000100000000001000000000000000010100101000000000000000000000011001010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000000000000001000000000010000000000000000101001010000000000000000000010110010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100111010000000000000000010000000000100000000000000001010010100000000000000000000101100101000011110000000000000100000000000001111111110100110001111110101010100111010010101010011101001011101011101001110100000000000000000100000000001000000000000000010100101000000000000000000001011001010000111100000000000001000000000000011111111101001100011111101010101001110100101010100111010010110010111010001101000000100000000001000000000010000000000000000101001010000000000000000000010110010100001111000000000000010000000000000111111111010011000111111010101010011101001010101001110100101100101110100011010000001000000000010000000000100000000000000001010010100000000000000000000011100101000011110000000000000100000000000001111111110100110001111110101010100111010010101010011101001011101011101000110100000010000000000100000000001000000000000000010100101000000000000000000000111001010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010001101000000100000000001000000000010000000000000000101001010000000000000000000001110010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100111010000001000000000010000000000100000000000000001010010100000000000000000000011100101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000010000000000100000000001000000000000000010100101000000000000000000001111001010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000100000000001000000000010000000000000000101001010000000000000000000011110010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100111010000001000000000010000000000100000000000000001010010100000000000000000000111100101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101000110100000000000000000000000000000000000000010000010100101000000000000000000001111001010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010001101000000000000000000000000000000000000000100000101001010000000000000000000000001010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100011010000000000000000000000000000000000000001000001010010100000000000000000000000010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101000110100000000000000000000000000000000000000010000010100101000000000000000000000000101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000000000000000000000000000000000000100000101001010000000000000000000000001010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100111010000000000000000000000000000000000000001000001010010100000000000000000000100010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000000000000000000000000000000000000010000010100101000000000000000000001000101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000000000000000000000000000000000000100000101001010000000000000000000010001010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101100101110100011010000001000000000000000000000000000000001000001010010100000000000000000000100010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101000110100000010000000000000000000000000000000010000010100101000000000000000000000100101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010111010111010001101000000100000000000000000000000000000000100000101001010000000000000000000001001010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100011010000001000000000000000000000000000000001000001010010100000000000000000000010010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000010000000000000000000000000000000010000010100101000000000000000000000100101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000100000000000000000000000000000000100000101001010000000000000000000011001010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100101110101110100111010000001000000000000000000000000000000001000001010010100000000000000000000110010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000010000000000000000000000000000000010000010100101000000000000000000001100101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010010010111010001101000000000000000001000000000000000000000100000101001010000000000000000000011001010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100100101110100011010000000000000000010000000000000000000001000001010010100000000000000000000001010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001101011101000110100000000000000000100000000000000000000010000010100101000000000000000000000010101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010011010111010001101000000000000000001000000000000000000000100000101001010000000000000000000000101010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100100101110100111010000000000000000010000000000000000000001000001010010100000000000000000000001010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001001011101001110100000000000000000100000000000000000000010000010100101000000000000000000001010101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010011010111010011101000000000000000001000000000000000000000100000101001010000000000000000000010101010100001111000000000000010000000000000111111111010011000111111010101010011101001010101001110100100110101110100111010000000000000000010000000000000000000001000001010010100000000000000000000101010101000011110000000000000100000000000001111111110100110001111110101010100111010010101010011101001001001011101000110100000010000000000100000000000000000000010000010100101000000000000000000001010101010000111100000000000001000000000000011111111101001100011111101010101001110100101010100111010010010010111010001101000000100000000001000000000000000000000100000101001010000000000000000000001101010100001111000000000000010000000000000111111111010011000111111010101010011101001010101001110100100110101110100011010000001000000000010000000000000000000001000001010010100000000000000000000011010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001101011101000110100000010000000000100000000000000000000010000010100101000000000000000000000110101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010010010111010011101000000100000000001000000000000000000000100000101001010000000000000000000001101010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100100101110100111010000001000000000010000000000000000000001000001010010100000000000000000000111010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001101011101001110100000010000000000100000000000000000000010000010100101000000000000000000001110101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010011010111010011101000000100000000001000000000000000000000100000101001010000000000000000000011101010100001111000000000000010000001000000111111111010011000111111010101010011101001010101001110100100100101110100011010000000000000000000000000000100000000001000001010010100000000000000000000111010101000011110000000000000100000010000001111111110100110001111110101010100111010010101010011101001001001011101000110100000000000000000000000000001000000000010000010100101000000000000000000000001101010000111100000000000001000000100000011111111101001100011111101010101001110100101010100111010010011010111010001101000000000000000000000000000010000000000100000101001010000000000000000000000011010100001111000000000000010000001000000111111111010111000111111010101010011101001000101001110100100110101110100011010000000000000000000000000000100000000001000001010010100000000000000000000000110101000011110000000000000100000010000001111111110101110001111110101010100111010010001010011101001001001011101001110100000000000000000000000000001000000000010000010100101000000000000000000000001101010000111100000000000001000000100000011111111101011100011111101010000101110100100010100111010010010010111010011101000000000000000000000000000010000000000100000101001010000000000000000000010011010100001111000000000000010000001000000111111111010111000111111010100001011101001000101001110100100110101110100111010000000000000000000000000000100000000001000001010010100000000000000000000100110101000011110000000000000100000010000001111111110101110001111110101000010111010010001010011101001001101011101001110100000000000000000000000000001000000000010000010100101000000000000000000001001101010000111100000000000001000000100000011111111101011100011111101010000101110100100010100111010010010010111010001101000000100000000000000000000010000000000100000101001010000000000000000000010011010100001111000000000000010000001000000111111111010111000111111010100001011101001000101001110100100100101110100011010000001000000000000000000000100000000001000001010010100000000000000000000010110101000011110000000000000100000010000001111111110101110001111110101000010111010010001010011101001001101011101000110100000010000000000000000000001000000000010000010100101000000000000000000000101101010000111100000000000001000000100000011111111101011100011111101010000101110100100010100111010010011010111010001101000000100000000000000000000010000000000100000101001010000000000000000000001011010100001111000000000000010000001000000111111111010111000111111010100001011101001000101001110100100100101110100111010000001000000000000000000000100000000001000001010010100000000000000000000010110101000011110000000000000100000010000001111111110101110001111110101000010111010010001010011101001001001011101001110100000010000000000000000000001000000000010000010100101000000000000000000001101101010000111100000000000001000000100000011111111101011100011111101010000101110100100010100111010010011010111010011101000000100000000000000000000010000000000100000101001010000000000000000000011011010100001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100111010000001000000000000000000000100000000001000001010010100000000000000000000110110101000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001001011101000110100000000000000000100000000001000000000010000010100101000000000000000000001101101010000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010010010111010001101000000000000000001000000000010000000000100000101001010000000000000000000000111010100001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100011010000000000000000010000000000100000000001000001010010100000000000000000000001110101000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001101011101000110100000000000000000100000000001000000000010000010100101000000000000000000000011101010000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010010010111010011101000000000000000001000000000010000000000100000101001010000000000000000000000111010100001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100100101110100111010000000000000000010000000000100000000001000001010010100000000000000000000101110101000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001101011101001110100000000000000000100000000001000000000010000010100101000000000000000000001011101010000111100000000000001000000000000011111111101011100011111101010000101110100100000010111010010011010111010011101000000000000000001000000000010000000000100000101001010000000000000000000010111010100001111000000000000010000000000000111111111010111000111111010100001011101001000000101110100100100101110100011010000001000000000010000000000100000000001000001010010100000000000000000000101110101000011110000000000000100000000000001111111110101110001111110101000010111010010000001011101001001001011101000110100000010000000000100000000001000000000010000010100101000000000000000000000111101010000111100000000000001000000000000011111111101011100011111101010000101110100100000010111010010011010111010001101000000100000000001000000000010000000000100000101001010000000000000000000001111010100001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100011010000001000000000010000000000100000000001000001010010100000000000000000000011110101000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001001011101001110100000010000000000100000000001000000000010000010100101000000000000000000000111101010000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010010010111010011101000000100000000001000000000010000000000100000101001010000000000000000000011111010100001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100110101110100111010000001000000000010000000000100000000001000001010010100000000000000000000111110101000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001101011101001110100000010000000000100000000001000000000010000010100101000000000000000000001111101010000111100000000000001000000100000011111111101011100011111101010000101110100100000010111010010010010111010001101000000000000000000000000000000000000000000001101001010000000000000000000011111010100001111000000000000010000001000000111111111010111000111111010100001011101001000000101110100100100101110100011010000000000000000000000000000000000000000000011010010100000000000000000000000001101000011110000000000000100000010000001111111110101110001111110101000010111010010000001011101001001101011101000110100000000000000000000000000000000000000000000110100101000000000000000000000000011010000111100000000000001000000100000011111111101001100010000011010000101110100100000010111010010011010111010001101000000000000000000000000000000000000000000001101001010000000000000000000000000110100001111000000000000010000001000000111111111010011000100000110100001011101001000000101110100100100101110100111010000000000000000000000000000000000000000000011010010100000000000000000000000001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000000000000000000000000000000000000000000110100101000000000000000000001000011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000000000000000000000000000000000000000001101001010000000000000000000010000110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100111010000000000000000000000000000000000000000000011010010100000000000000000000100001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101000110100000010000000000000000000000000000000000000110100101000000000000000000001000011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010001101000000100000000000000000000000000000000000001101001010000000000000000000001000110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100011010000001000000000000000000000000000000000000011010010100000000000000000000010001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101000110100000010000000000000000000000000000000000000110100101000000000000000000000100011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000100000000000000000000000000000000000001101001010000000000000000000001000110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100111010000001000000000000000000000000000000000000011010010100000000000000000000110001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000010000000000000000000000000000000000000110100101000000000000000000001100011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000100000000000000000000000000000000000001101001010000000000000000000011000110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100011010000000000000000010000000000000000000000000011010010100000000000000000000110001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101000110100000000000000000100000000000000000000000000110100101000000000000000000000010011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010001101000000000000000001000000000000000000000000001101001010000000000000000000000100110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100011010000000000000000010000000000000000000000000011010010100000000000000000000001001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000000000000000100000000000000000000000000110100101000000000000000000000010011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000000000000001000000000000000000000000001101001010000000000000000000010100110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100111010000000000000000010000000000000000000000000011010010100000000000000000000101001101000011110000000000000100000000000001111111110100110001000001101101011111010010000001011101001001101011101001110100000000000000000100000000000000000000000000110100101000000000000000000001010011010000111100000000000001000000000000011111111101001100010000011011010111110100100000010111010010010010111010001101000000100000000001000000000000000000000000001101001010000000000000000000010100110100001111000000000000010000000000000111111111010011000100000110110101111101001000000101110100100100101110100011010000001000000000010000000000000000000000000011010010100000000000000000000011001101000011110000000000000100000000000001111111110100110001000001101101011111010010000001011101001001101011101000110100000010000000000100000000000000000000000000110100101000000000000000000000110011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010001101000000100000000001000000000000000000000000001101001010000000000000000000001100110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100111010000001000000000010000000000000000000000000011010010100000000000000000000011001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000010000000000100000000000000000000000000110100101000000000000000000001110011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000100000000001000000000000000000000000001101001010000000000000000000011100110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100111010000001000000000010000000000000000000000000011010010100000000000000000000111001101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001001011101000110100000000000000000000000000001000000000000000110100101000000000000000000001110011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010001101000000000000000000000000000010000000000000001101001010000000000000000000000010110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100110101110100011010000000000000000000000000000100000000000000011010010100000000000000000000000101101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101000110100000000000000000000000000001000000000000000110100101000000000000000000000001011010000111100000000000001000000100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000000000000000000000000010000000000000001101001010000000000000000000000010110100001111000000000000010000001000000111111111010011000100000110110101111101001000000101110100100100101110100111010000000000000000000000000000100000000000000011010010100000000000000000000100101101000011110000000000000100000010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000000000000000000000000001000000000000000110100101000000000000000000001001011010000111100000000000001000000100000011111111101001100011101101011010111110100100000010111010010011010111010011101000000000000000000000000000010000000000000001101001010000000000000000000010010110100001111000000000000010000001000000111111111010011000111011010110101111101001000000101110100100100101110100011010000001000000000000000000000100000000000000011010010100000000000000000000100101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101000110100000010000000000000000000001000000000000000110100101000000000000000000000101011010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000100000000000000000000010000000000000001101001010000000000000000000001010110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100011010000001000000000000000000000100000000000000011010010100000000000000000000010101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000010000000000000000000001000000000000000110100101000000000000000000000101011010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000100000000000000000000010000000000000001101001010000000000000000000011010110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100111010000001000000000000000000000100000000000000011010010100000000000000000000110101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000010000000000000000000001000000000000000110100101000000000000000000001101011010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010001101000000000000000001000000000010000000000000001101001010000000000000000000011010110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100011010000000000000000010000000000100000000000000011010010100000000000000000000001101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000000000000000100000000001000000000000000110100101000000000000000000000011011010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000000000000001000000000010000000000000001101001010000000000000000000000110110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100111010000000000000000010000000000100000000000000011010010100000000000000000000001101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000000000000000100000000001000000000000000110100101000000000000000000001011011010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000000000000001000000000010000000000000001101001010000000000000000000010110110100001111000000000000010000000000000111111111010011000111011010100001101101001000000101110100100110101110100111010000000000000000010000000000100000000000000011010010100000000000000000000101101101000011110000000000000100000000000001111111110100110001110110101000011011010010000001011101001001001011101000110100000010000000000100000000001000000000000000110100101000000000000000000001011011010000111100000000000001000000000000011111111101001100011101101010000110110100100000010111010010010010111010001101000000100000000001000000000010000000000000001101001010000000000000000000001110110100001111000000000000010000000000000111111111010011000111011010100001101101001000000101110100100110101110100011010000001000000000010000000000100000000000000011010010100000000000000000000011101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000010000000000100000000001000000000000000110100101000000000000000000000111011010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000100000000001000000000010000000000000001101001010000000000000000000001110110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100111010000001000000000010000000000100000000000000011010010100000000000000000000111101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000010000000000100000000001000000000000000110100101000000000000000000001111011010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000100000000001000000000010000000000000001101001010000000000000000000011110110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100011010000000000000000000000000000000000000001000011010010100000000000000000000111101101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101000110100000000000000000000000000000000000000010000110100101000000000000000000000000111010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000000000000000000000000000000000000100001101001010000000000000000000000001110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100011010000000000000000000000000000000000000001000011010010100000000000000000000000011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000000000000000000000000000000000000010000110100101000000000000000000000000111010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000000000000000000000000000000000000100001101001010000000000000000000010001110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100110101110100111010000000000000000000000000000000000000001000011010010100000000000000000000100011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000000000000000000000000000000000000010000110100101000000000000000000001000111010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010010010111010001101000000100000000000000000000000000000000100001101001010000000000000000000010001110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100011010000001000000000000000000000000000000001000011010010100000000000000000000010011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000010000000000000000000000000000000010000110100101000000000000000000000100111010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000100000000000000000000000000000000100001101001010000000000000000000001001110100001111000000000000010000001000000111111111010011000111011010100001101101001000000101110100100100101110100111010000001000000000000000000000000000000001000011010010100000000000000000000010011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000010000000000000000000000000000000010000110100101000000000000000000001100111010000111100000000000001000000100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000100000000000000000000000000000000100001101001010000000000000000000011001110100001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100111010000001000000000000000000000000000000001000011010010100000000000000000000110011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001001011101000110100000000000000000100000000000000000000010000110100101000000000000000000001100111010000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010010010111010001101000000000000000001000000000000000000000100001101001010000000000000000000000101110100001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100011010000000000000000010000000000000000000001000011010010100000000000000000000001011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001101011101000110100000000000000000100000000000000000000010000110100101000000000000000000000010111010000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010010010111010011101000000000000000001000000000000000000000100001101001010000000000000000000000101110100001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100100101110100111010000000000000000010000000000000000000001000011010010100000000000000000000101011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001101011101001110100000000000000000100000000000000000000010000110100101000000000000000000001010111010000111100000000000001000000000000011111111101001100011101101010000110110100100000011011010010011010111010011101000000000000000001000000000000000000000100001101001010000000000000000000010101110100001111000000000000010000000000000111111111010011000111011010100001101101001000000110110100100100101110100011010000001000000000010000000000000000000001000011010010100000000000000000000101011101000011110000000000000100000000000001111111110100110001110110101000011011010010000001101101001001001011101000110100000010000000000100000000000000000000010000110100101000000000000000000000110111010000111100000000000001000000000000011111111101001100011101101010000110110100100000011011010010011010111010001101000000100000000001000000000000000000000100001101001010000000000000000000001101110100001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100011010000001000000000010000000000000000000001000011010010100000000000000000000011011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001001011101001110100000010000000000100000000000000000000010000110100101000000000000000000000110111010000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010010010111010011101000000100000000001000000000000000000000100001101001010000000000000000000011101110100001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100110101110100111010000001000000000010000000000000000000001000011010010100000000000000000000111011101000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001101011101001110100000010000000000100000000000000000000010000110100101000000000000000000001110111010000111100000000000001000000100000011111111101001100011101101010000110110100100000011011010010010010111010001101000000000000000000000000000010000000000100001101001010000000000000000000011101110100001111000000000000010000001000000111111111010011000111011010100001101101001000000110110100100100101110100011010000000000000000000000000000100000000001000011010010100000000000000000000000111101000011110000000000000100000010000001111111110100110001110110101000011011010010000001101101001001101011101000110100000000000000000000000000001000000000010000110100101000000000000000000000001111010000111100000000000001000000100000011111111101011100011101101010000110110100100000011011010010011010111010001101000000000000000000000000000010000000000100001101001010000000000000000000000011110100001111000000000000010000001000000111111111010111000111011010100001101101001000000110110100100100101110100111010000000000000000000000000000100000000001000011010010100000000000000000000000111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101001110100000000000000000000000000001000000000010000110100101000000000000000000001001111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010011101000000000000000000000000000010000000000100001101001010000000000000000000010011110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100111010000000000000000000000000000100000000001000011010010100000000000000000000100111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101000110100000010000000000000000000001000000000010000110100101000000000000000000001001111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010001101000000100000000000000000000010000000000100001101001010000000000000000000001011110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100011010000001000000000000000000000100000000001000011010010100000000000000000000010111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101000110100000010000000000000000000001000000000010000110100101000000000000000000010101111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010011101000000100000000000000000000010000000000100001101001010000000000000000000101011110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100111010000001000000000000000000000100000000001000011010010100000000000000000001110111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101001110100000010000000000000000000001000000000010000110100101000000000000000000011101111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010011101000000100000000000000000000010000000000100001101001010000000000000000000111011110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100011010000000000000000010000000000100000000001000011010010100000000000000000001110111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101000110100000000000000000100000000001000000000010000110100101000000000000000000010011111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010001101000000000000000001000000000010000000000100001101001010000000000000000000100111110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100011010000000000000000010000000000100000000001000011010010100000000000000000001001111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101001110100000000000000000100000000001000000000010000110100101000000000000000000010011111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010011101000000000000000001000000000010000000000100001101001010000000000000000000110111110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100111010000000000000000010000000000100000000001000011010010100000000000000000001101111101000011110000000000000100000000000001111111110101110001110110101100000001010010000001101101001001101011101001110100000000000000000100000000001000000000010000110100101000000000000000000011011111010000111100000000000001000000000000011111111101011100011101101011000000010100100000011011010010010010111010001101000000100000000001000000000010000000000100001101001010000000000000000000110111110100001111000000000000010000000000000111111111010111000111011010110000000101001000000110110100100100101110100011010000001000000000010000000000100000000001000011010010100000000000000000001011111101000011110000000000000100000000000001111111110101110001110110101100000001010010000001101101001001101011101000110100000010000000000100000000001000000000010000110100101000000000000000000010111111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010001101000000100000000001000000000010000000000100001101001010000000000000000000101111110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100111010000001000000000010000000000100000000001000011010010100000000000000000001011111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101001110100000010000000000100000000001000000000010000110100101000000000000000000011111111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010011010111010011101000000100000000001000000000010000000000100001101001010000000000000000000111111110100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100111010000001000000000010000000000100000000001000011010010100000000000000000001111111101000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001001011101000110100000000000000000000000000000000000000000000001100101000000000000000000011111111010000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010001101000000000000000000000000000000000000000000000011001010000000000000000000100000001100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100110101110100011010000000000000000000000000000000000000000000000110010100000000000000000001000000011000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101000110100000000000000000000000000000000000000000000001100101000000000000000000010000000110000111100000000000001000000100000011111111101011100011101101011000000010100100000011011010010010010111010011101000000000000000000000000000000000000000000000011001010000000000000000000100000001100001111000000000000010000001000000111111111010111000111011010110000000101001000000110110100100100101110100111010000000000000000000000000000000000000000000000110010100000000000000000001100000011000011110000000000000100000010000001111111110101110001110110101100000001010010000001101101001001101011101001110100000000000000000000000000000000000000000000001100101000000000000000000011000000110000111100000000000001000000100000011111111101011100010011101011000000010100100000011011010010011010111010011101000000000000000000000000000000000000000000000011001010000000000000000000110000001100001111000000000000010000001000000111111111010111000100111010110000000101001000000110110100100100101110100011010000001000000000000000000000000000000000000000110010100000000000000000001100000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101000110100000010000000000000000000000000000000000000001100101000000000000000000010100000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010001101000000100000000000000000000000000000000000000011001010000000000000000000101000001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100011010000001000000000000000000000000000000000000000110010100000000000000000001010000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101001110100000010000000000000000000000000000000000000001100101000000000000000000010100000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010011101000000100000000000000000000000000000000000000011001010000000000000000000111000001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100111010000001000000000000000000000000000000000000000110010100000000000000000001110000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101001110100000010000000000000000000000000000000000000001100101000000000000000000011100000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010001101000000000000000001000000000000000000000000000011001010000000000000000000111000001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100011010000000000000000010000000000000000000000000000110010100000000000000000001001000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101000110100000000000000000100000000000000000000000000001100101000000000000000000010010000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010001101000000000000000001000000000000000000000000000011001010000000000000000000100100001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100111010000000000000000010000000000000000000000000000110010100000000000000000001001000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101001110100000000000000000100000000000000000000000000001100101000000000000000000011010000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010011101000000000000000001000000000000000000000000000011001010000000000000000000110100001100001111000000000000010000000000000111111111010111000100111010110001101101001000000110110100100110101110100111010000000000000000010000000000000000000000000000110010100000000000000000001101000011000011110000000000000100000000000001111111110101110001001110101100011011010010000001101101001001001011101000110100000010000000000100000000000000000000000000001100101000000000000000000011010000110000111100000000000001000000000000011111111101011100010011101011000110110100100000011011010010010010111010001101000000100000000001000000000000000000000000000011001010000000000000000000101100001100001111000000000000010000000000000111111111010111000100111010110001101101001000000110110100100110101110100011010000001000000000010000000000000000000000000000110010100000000000000000001011000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101000110100000010000000000100000000000000000000000000001100101000000000000000000010110000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010011101000000100000000001000000000000000000000000000011001010000000000000000000101100001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100111010000001000000000010000000000000000000000000000110010100000000000000000001111000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101001110100000010000000000100000000000000000000000000001100101000000000000000000011110000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010011101000000100000000001000000000000000000000000000011001010000000000000000000111100001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100011010000000000000000000000000000100000000000000000110010100000000000000000001111000011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101000110100000000000000000000000000001000000000000000001100101000000000000000000010001000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010001101000000000000000000000000000010000000000000000011001010000000000000000000100010001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100011010000000000000000000000000000100000000000000000110010100000000000000000001000100011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101001110100000000000000000000000000001000000000000000001100101000000000000000000010001000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010011101000000000000000000000000000010000000000000000011001010000000000000000000110010001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100110101110100111010000000000000000000000000000100000000000000000110010100000000000000000001100100011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101001110100000000000000000000000000001000000000000000001100101000000000000000000011001000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010010010111010001101000000100000000000000000000010000000000000000011001010000000000000000000110010001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100011010000001000000000000000000000100000000000000000110010100000000000000000001010100011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001101011101000110100000010000000000000000000001000000000000000001100101000000000000000000010101000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010001101000000100000000000000000000010000000000000000011001010000000000000000000101010001100001111000000000000010000001000000111111111010111000100111010110001101101001000000110110100100100101110100111010000001000000000000000000000100000000000000000110010100000000000000000001010100011000011110000000000000100000010000001111111110101110001001110101100011011010010000001101101001001001011101001110100000010000000000000000000001000000000000000001100101000000000000000000011101000110000111100000000000001000000100000011111111101011100010011101011000110110100100000011011010010011010111010011101000000100000000000000000000010000000000000000011001010000000000000000000111010001100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100111010000001000000000000000000000100000000000000000110010100000000000000000001110100011000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001001011101000110100000000000000000100000000001000000000000000001100101000000000000000000011101000110000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010010010111010001101000000000000000001000000000010000000000000000011001010000000000000000000100110001100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100011010000000000000000010000000000100000000000000000110010100000000000000000001001100011000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001101011101000110100000000000000000100000000001000000000000000001100101000000000000000000010011000110000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010010010111010011101000000000000000001000000000010000000000000000011001010000000000000000000100110001100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100100101110100111010000000000000000010000000000100000000000000000110010100000000000000000001101100011000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001101011101001110100000000000000000100000000001000000000000000001100101000000000000000000011011000110000111100000000000001000000000000011111111101011100010011101011000110110100100100011011010010011010111010011101000000000000000001000000000010000000000000000011001010000000000000000000110110001100001111000000000000010000000000000111111111010111000100111010110001101101001001000110110100100100101110100011010000001000000000010000000000100000000000000000110010100000000000000000001101100011000011110000000000000100000000000001111111110101110001001110101100011011010010010001101101001001001011101000110100000010000000000100000000001000000000000000001100101000000000000000000010111000110000111100000000000001000000000000011111111101011100010011101011000110110100100100011011010010011010111010001101000000100000000001000000000010000000000000000011001010000000000000000000101110001100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100011010000001000000000010000000000100000000000000000110010100000000000000000001011100011000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001001011101001110100000010000000000100000000001000000000000000001100101000000000000000000010111000110000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010010010111010011101000000100000000001000000000010000000000000000011001010000000000000000000111110001100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100110101110100111010000001000000000010000000000100000000000000000110010100000000000000000001111100011000011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001101011101001110100000010000000000100000000001000000000000000001100101000000000000000000011111000110000111100000000000001000000100000011111111101011100010011101011000110110100100100011011010010010010111010001101000000000000000000000000000000000000000100000011001010000000000000000000111110001100001111000000000000010000001000000111111111010111000100111010110001101101001001000110110100100100101110100011010000000000000000000000000000000000000001000000110010100000000000000000001000000000100011110000000000000100000010000001111111110101110001001110101100011011010010010001101101001001101011101000110100000000000000000000000000000000000000010000001100101000000000000000000010000000001000111100000000100000000010100000011111111101001100011011101011000110110100100100011011010010011010111010001101000000000000000000000000000000000000000100000011001010000000000000000000100000000010001111000000001000000000101000000111111111010011000110111010110001101101001001000110110100100100101110100111010000000000000000000000000000000000000001000000110010100000000000000000001000000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001001011101001110100000000000000000000000000000000000000010000001100101000000000000000000011000000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011010111010011101000000000000000000000000000000000000000100000011001010000000000000000000110000000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110101110100111010000000000000000000000000000000000000001000000110010100000000000000000001100000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001001011101000110100000010000000000000000000000000000000010000001100101000000000000000000011000000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010010111010001101000000100000000000000000000000000000000100000011001010000000000000000000101000000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110101110100011010000001000000000000000000000000000000001000000110010100000000000000000001010000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001101011101000110100000010000000000000000000000000000000010000001100101000000000000000000010100000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010010111010011101000000100000000000000000000000000000000100000011001010000000000000000000101000000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100101110100111010000001000000000000000000000000000000001000000110010100000000000000000001110000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001101011101001110100000010000000000000000000000000000000010000001100101000000000000000000011100000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011010111010011101000000100000000000000000000000000000000100000011001010000000000000000000111000000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100101110100011010000000000000000010000000000000000000001000000110010100000000000000000001110000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001001011101000110100000000000000000100000000000000000000010000001100101000000000000000000010010000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011010111010001101000000000000000001000000000000000000000100000011001010000000000000000000100100000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110101110100011010000000000000000010000000000000000000001000000110010100000000000000000001001000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001001011101001110100000000000000000100000000000000000000010000001100101000000000000000000010010000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010010111010011101000000000000000001000000000000000000000100000011001010000000000000000000110100000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110101110100111010000000000000000010000000000000000000001000000110010100000000000000000001101000000100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001101011101001110100000000000000000100000000000000000000010000001100101000000000000000000011010000001000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010010010111010001101000000100000000001000000000000000000000100000011001010000000000000000000110100000010001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100100101110100011010000001000000000010000000000000000000001000000110010100000000000000000001011000000100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001101011101000110100000010000000000100000000000000000000010000001100101000000000000000000010110000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011010111010001101000000100000000001000000000000000000000100000011001010000000000000000000101100000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100101110100111010000001000000000010000000000000000000001000000110010100000000000000000001011000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001001011101001110100000010000000000100000000000000000000010000001100101000000000000000000011110000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011010111010011101000000100000000001000000000000000000000100000011001010000000000000000000111100000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110101110100111010000001000000000010000000000000000000001000000110010100000000000000000001111000000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001001011101000110100000000000000000000000000001000000000010000001100101000000000000000000011110000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010010111010001101000000000000000000000000000010000000000100000011001010000000000000000000100010000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110101110100011010000000000000000000000000000100000000001000000110010100000000000000000001000100000100011110000000010000000001010000001111111110100000000000000000000000001010010010001101101001001101011101000110100000000000000000000000000001000000000010000001100101000000000000000000010001000001000111100000000100000000010100000011111111101000000000000000000000000010100100100011011010010010010111010011101000000000000000000000000000010000000000100000011001010000000000000000000100010000010001111000000001000000000101000000111111111010000000000000000000011110101001001000110110100100100101110100111010000000000000000000000000000100000000001000000110010100000000000000000001100100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001101011101001110100000000000000000000000000001000000000010000001100101000000000000000000011001000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011010111010011101000000000000000000000000000010000000000100000011001010000000000000000000110010000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100101110100011010000001000000000000000000000100000000001000000110010100000000000000000001100100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001001011101000110100000010000000000000000000001000000000010000001100101000000000000000000010101000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011010111010001101000000100000000000000000000010000000000100000011001010000000000000000000101010000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100110101110100011010000001000000000000000000000100000000001000000110010100000000000000000001010100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001001011101001110100000010000000000000000000001000000000010000001100101000000000000000000010101000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010010111010011101000000100000000000000000000010000000000100000011001010000000000000000000111010000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100110101110100111010000001000000000000000000000100000000001000000110010100000000000000000001110100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001101011101001110100000010000000000000000000001000000000010000001100101000000000000000000011101000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010010111010001101000000000000000001000000000010000000000100000011001010000000000000000000111010000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100101110100011010000000000000000010000000000100000000001000000110010100000000000000000001001100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001101011101000110100000000000000000100000000001000000000010000001100101000000000000000000010011000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011010111010001101000000000000000001000000000010000000000100000011001010000000000000000000100110000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100101110100111010000000000000000010000000000100000000001000000110010100000000000000000001001100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001001011101001110100000000000000000100000000001000000000010000001100101000000000000000000011011000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011010111010011101000000000000000001000000000010000000000100000011001010000000000000000000110110000010001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100110101110100111010000000000000000010000000000100000000001000000110010100000000000000000001101100000100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001001011101000110100000010000000000100000000001000000000010000001100101000000000000000000011011000001000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010010010111010001101000000100000000001000000000010000000000100000011001010000000000000000000101110000010001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100110101110100011010000001000000000010000000000100000000001000000110010100000000000000000001011100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001101011101000110100000010000000000100000000001000000000010000001100101000000000000000000010111000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010010111010011101000000100000000001000000000010000000000100000011001010000000000000000000101110000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100101110100111010000001000000000010000000000100000000001000000110010100000000000000000001111100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001101011101001110100000010000000000100000000001000000000010000001100101000000000000000000011111000001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011010111010011101000000100000000001000000000010000000000100000011001010000000000000000000111110000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100101110100011010000000000000000000000000000000000000000000010110010100000000000000000001111100000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001001011101000110100000000000000000000000000000000000000000000101100101000000000000000000010000100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011010111010001101000000000000000000000000000000000000000000001011001010000000000000000000100001000010001111000000001000000000101000000111111111010100000000000000000101010101001001000110110100100110101110100011010000000000000000000000000000000000000000000010110010100000000000000000001000010000100011110000000010000000001010000001111111110101000000000000000001010101010010010001101101001001001011101001110100000000000000000000000000000000000000000000101100101000000000000000000010000100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010010111010011101000000000000000000000000000000000000000000001011001010000000000000000000110001000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110101110100111010000000000000000000000000000000000000000000010110010100000000000000000001100010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001101011101001110100000000000000000000000000000000000000000000101100101000000000000000000011000100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010010111010001101000000100000000000000000000000000000000000001011001010000000000000000000110001000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100100101110100011010000001000000000000000000000000000000000000010110010100000000000000000001010010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001101011101000110100000010000000000000000000000000000000000000101100101000000000000000000010100100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011010111010001101000000100000000000000000000000000000000000001011001010000000000000000000101001000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100100101110100111010000001000000000000000000000000000000000000010110010100000000000000000001010010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001001011101001110100000010000000000000000000000000000000000000101100101000000000000000000011100100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011010111010011101000000100000000000000000000000000000000000001011001010000000000000000000111001000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110101110100111010000001000000000000000000000000000000000000010110010100000000000000000001110010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001001011101000110100000000000000000100000000000000000000000000101100101000000000000000000011100100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010010111010001101000000000000000001000000000000000000000000001011001010000000000000000000100101000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110101110100011010000000000000000010000000000000000000000000010110010100000000000000000001001010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001101011101000110100000000000000000100000000000000000000000000101100101000000000000000000010010100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010010111010011101000000000000000001000000000000000000000000001011001010000000000000000000100101000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100100101110100111010000000000000000010000000000000000000000000010110010100000000000000000001101010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001101011101001110100000000000000000100000000000000000000000000101100101000000000000000000011010100001000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010011010111010011101000000000000000001000000000000000000000000001011001010000000000000000000110101000010001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100100101110100011010000001000000000010000000000000000000000000010110010100000000000000000001101010000100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001001011101000110100000010000000000100000000000000000000000000101100101000000000000000000010110100001000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010011010111010001101000000100000000001000000000000000000000000001011001010000000000000000000101101000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110101110100011010000001000000000010000000000000000000000000010110010100000000000000000001011010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001001011101001110100000010000000000100000000000000000000000000101100101000000000000000000010110100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010010111010011101000000100000000001000000000000000000000000001011001010000000000000000000111101000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110101110100111010000001000000000010000000000000000000000000010110010100000000000000000001111010000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001101011101001110100000010000000000100000000000000000000000000101100101000000000000000000011110100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010010111010001101000000000000000000000000000010000000000000001011001010000000000000000000111101000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100100101110100011010000000000000000000000000000100000000000000010110010100000000000000000001000110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001101011101000110100000000000000000000000000001000000000000000101100101000000000000000000010001100001000111100000000100000000010100000011111111101010000001101010111100000010100100100011011010010011010111010001101000000000000000000000000000010000000000000001011001010000000000000000000100011000010001111000000001000000000101000000111111111010100000011010101111000000101001001000110110100100100101110100111010000000000000000000000000000100000000000000010110010100000000000000000001000110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001001011101001110100000000000000000000000000001000000000000000101100101000000000000000000011001100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011010111010011101000000000000000000000000000010000000000000001011001010000000000000000000110011000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100110101110100111010000000000000000000000000000100000000000000010110010100000000000000000001100110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001001011101000110100000010000000000000000000001000000000000000101100101000000000000000000011001100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010010111010001101000000100000000000000000000010000000000000001011001010000000000000000000101011000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100110101110100011010000001000000000000000000000100000000000000010110010100000000000000000001010110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001101011101000110100000010000000000000000000001000000000000000101100101000000000000000000010101100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010010111010011101000000100000000000000000000010000000000000001011001010000000000000000000101011000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100100101110100111010000001000000000000000000000100000000000000010110010100000000000000000001110110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001101011101001110100000010000000000000000000001000000000000000101100101000000000000000000011101100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011010111010011101000000100000000000000000000010000000000000001011001010000000000000000000111011000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101100101110100011010000000000000000010000000000100000000000000010110010100000000000000000001110110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011001011101000110100000000000000000100000000001000000000000000101100101000000000000000000010011100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111010111010001101000000000000000001000000000010000000000000001011001010000000000000000000100111000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101110101110100011010000000000000000010000000000100000000000000010110010100000000000000000001001110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011001011101001110100000000000000000100000000001000000000000000101100101000000000000000000010011100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110010111010011101000000000000000001000000000010000000000000001011001010000000000000000000110111000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101110101110100111010000000000000000010000000000100000000000000010110010100000000000000000001101110000100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011101011101001110100000000000000000100000000001000000000000000101100101000000000000000000011011100001000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010110010111010001101000000100000000001000000000010000000000000001011001010000000000000000000110111000010001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101100101110100011010000001000000000010000000000100000000000000010110010100000000000000000001011110000100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011101011101000110100000010000000000100000000001000000000000000101100101000000000000000000010111100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111010111010001101000000100000000001000000000010000000000000001011001010000000000000000000101111000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101100101110100111010000001000000000010000000000100000000000000010110010100000000000000000001011110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011001011101001110100000010000000000100000000001000000000000000101100101000000000000000000011111100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111010111010011101000000100000000001000000000010000000000000001011001010000000000000000000111111000010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101110101110100111010000001000000000010000000000100000000000000010110010100000000000000000001111110000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011001011101000110100000000000000000000000000000000000000010000101100101000000000000000000011111100001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110010111010001101000000000000000000000000000000000000000100001011001010000000000000000000100000100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101110101110100011010000000000000000000000000000000000000001000010110010100000000000000000001000001000100011110000000010000000001010000001111111110101110001101110101111111111010010110001101101001011101011101000110100000000000000000000000000000000000000010000101100101000000000000000000010000010001000111100000000100000000010100000011111111101011100011011101011111111110100101100011011010010110010111010011101000000000000000000000000000000000000000100001011001010000000000000000000100000100010001111000000001000000000101000000111111111010111000110111010100000000101001011000110110100101100101110100111010000000000000000000000000000000000000001000010110010100000000000000000001100001000100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011101011101001110100000000000000000000000000000000000000010000101100101000000000000000000011000010001000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111010111010011101000000000000000000000000000000000000000100001011001010000000000000000000110000100010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101100101110100011010000001000000000000000000000000000000001000010110010100000000000000000001100001000100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011001011101000110100000010000000000000000000000000000000010000101100101000000000000000000010100010001000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111010111010001101000000100000000000000000000000000000000100001011001010000000000000000000101000100010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101110101110100011010000001000000000000000000000000000000001000010110010100000000000000000001010001000100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011001011101001110100000010000000000000000000000000000000010000101100101000000000000000000010100010001000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110010111010011101000000100000000000000000000000000000000100001011001010000000000000000000111000100010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101110101110100111010000001000000000000000000000000000000001000010110010100000000000000000001110001000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011101011101001110100000010000000000000000000000000000000010000101100101000000000000000000011100010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110010111010001101000000000000000001000000000000000000000100001011001010000000000000000000111000100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101100101110100011010000000000000000010000000000000000000001000010110010100000000000000000001001001000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011101011101000110100000000000000000100000000000000000000010000101100101000000000000000000010010010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111010111010001101000000000000000001000000000000000000000100001011001010000000000000000000100100100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101100101110100111010000000000000000010000000000000000000001000010110010100000000000000000001001001000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011001011101001110100000000000000000100000000000000000000010000101100101000000000000000000011010010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111010111010011101000000000000000001000000000000000000000100001011001010000000000000000000110100100010001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101110101110100111010000000000000000010000000000000000000001000010110010100000000000000000001101001000100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011001011101000110100000010000000000100000000000000000000010000101100101000000000000000000011010010001000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010110010111010001101000000100000000001000000000000000000000100001011001010000000000000000000101100100010001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101110101110100011010000001000000000010000000000000000000001000010110010100000000000000000001011001000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011101011101000110100000010000000000100000000000000000000010000101100101000000000000000000010110010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110010111010011101000000100000000001000000000000000000000100001011001010000000000000000000101100100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101100101110100111010000001000000000010000000000000000000001000010110010100000000000000000001111001000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011101011101001110100000010000000000100000000000000000000010000101100101000000000000000000011110010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111010111010011101000000100000000001000000000000000000000100001011001010000000000000000000111100100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101100101110100011010000000000000000000000000000100000000001000010110010100000000000000000001111001000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011001011101000110100000000000000000000000000001000000000010000101100101000000000000000000010001010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111010111010001101000000000000000000000000000010000000000100001011001010000000000000000000100010100010001111000000001000000000101000000111111111010011000101111010110110001101001011011000110100101110101110100011010000000000000000000000000000100000000001000010110010100000000000000000001000101000100011110000000010000000001010000001111111110100110001011110101101100011010010110110001101001011001011101001110100000000000000000000000000001000000000010000101100101000000000000000000010001010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110010111010011101000000000000000000000000000010000000000100001011001010000000000000000000110010100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110101110100111010000000000000000000000000000100000000001000010110010100000000000000000001100101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011101011101001110100000000000000000000000000001000000000010000101100101000000000000000000011001010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110010111010001101000000100000000000000000000010000000000100001011001010000000000000000000110010100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101100101110100011010000001000000000000000000000100000000001000010110010100000000000000000001010101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011101011101000110100000010000000000000000000001000000000010000101100101000000000000000000010101010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111010111010001101000000100000000000000000000010000000000100001011001010000000000000000000101010100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101100101110100111010000001000000000000000000000100000000001000010110010100000000000000000001010101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011001011101001110100000010000000000000000000001000000000010000101100101000000000000000000011101010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111010111010011101000000100000000000000000000010000000000100001011001010000000000000000000111010100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110101110100111010000001000000000000000000000100000000001000010110010100000000000000000001110101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011001011101000110100000000000000000100000000001000000000010000101100101000000000000000000011101010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110010111010001101000000000000000001000000000010000000000100001011001010000000000000000000100110100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110101110100011010000000000000000010000000000100000000001000010110010100000000000000000001001101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011101011101000110100000000000000000100000000001000000000010000101100101000000000000000000010011010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110010111010011101000000000000000001000000000010000000000100001011001010000000000000000000100110100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101100101110100111010000000000000000010000000000100000000001000010110010100000000000000000001101101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011101011101001110100000000000000000100000000001000000000010000101100101000000000000000000011011010001000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010111010111010011101000000000000000001000000000010000000000100001011001010000000000000000000110110100010001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101100101110100011010000001000000000010000000000100000000001000010110010100000000000000000001101101000100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011001011101000110100000010000000000100000000001000000000010000101100101000000000000000000010111010001000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010111010111010001101000000100000000001000000000010000000000100001011001010000000000000000000101110100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110101110100011010000001000000000010000000000100000000001000010110010100000000000000000001011101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011001011101001110100000010000000000100000000001000000000010000101100101000000000000000000010111010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110010111010011101000000100000000001000000000010000000000100001011001010000000000000000000111110100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110101110100111010000001000000000010000000000100000000001000010110010100000000000000000001111101000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011101011101001110100000010000000000100000000001000000000010000101100101000000000000000000011111010001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110010111010001101000000000000000000000000000000000000000000000111001010000000000000000000111110100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101100101110100011010000000000000000000000000000000000000000000001110010100000000000000000001000011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011101011101000110100000000000000000000000000000000000000000000011100101000000000000000000010000110001000111100000000100000000010100000011111111101011100010111101011110000010100101101100011010010111010111010001101000000000000000000000000000000000000000000000111001010000000000000000000100001100010001111000000001000000000101000000111111111010111000101111010111100000101001011011000110100101100101110100111010000000000000000000000000000000000000000000001110010100000000000000000001000011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011001011101001110100000000000000000000000000000000000000000000011100101000000000000000000011000110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111010111010011101000000000000000000000000000000000000000000000111001010000000000000000000110001100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110101110100111010000000000000000000000000000000000000000000001110010100000000000000000001100011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011001011101000110100000010000000000000000000000000000000000000011100101000000000000000000011000110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110010111010001101000000100000000000000000000000000000000000000111001010000000000000000000101001100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110101110100011010000001000000000000000000000000000000000000001110010100000000000000000001010011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011101011101000110100000010000000000000000000000000000000000000011100101000000000000000000010100110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110010111010011101000000100000000000000000000000000000000000000111001010000000000000000000101001100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100101110100111010000001000000000000000000000000000000000000001110010100000000000000000001110011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011101011101001110100000010000000000000000000000000000000000000011100101000000000000000000011100110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111010111010011101000000100000000000000000000000000000000000000111001010000000000000000000111001100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100101110100011010000000000000000010000000000000000000000000001110010100000000000000000001110011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011001011101000110100000000000000000100000000000000000000000000011100101000000000000000000010010110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111010111010001101000000000000000001000000000000000000000000000111001010000000000000000000100101100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110101110100011010000000000000000010000000000000000000000000001110010100000000000000000001001011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011001011101001110100000000000000000100000000000000000000000000011100101000000000000000000010010110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110010111010011101000000000000000001000000000000000000000000000111001010000000000000000000110101100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110101110100111010000000000000000010000000000000000000000000001110010100000000000000000001101011000100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011101011101001110100000000000000000100000000000000000000000000011100101000000000000000000011010110001000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010110010111010001101000000100000000001000000000000000000000000000111001010000000000000000000110101100010001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101100101110100011010000001000000000010000000000000000000000000001110010100000000000000000001011011000100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011101011101000110100000010000000000100000000000000000000000000011100101000000000000000000010110110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111010111010001101000000100000000001000000000000000000000000000111001010000000000000000000101101100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100101110100111010000001000000000010000000000000000000000000001110010100000000000000000001011011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011001011101001110100000010000000000100000000000000000000000000011100101000000000000000000011110110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111010111010011101000000100000000001000000000000000000000000000111001010000000000000000000111101100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110101110100111010000001000000000010000000000000000000000000001110010100000000000000000001111011000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011001011101000110100000000000000000000000000001000000000000000011100101000000000000000000011110110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110010111010001101000000000000000000000000000010000000000000000111001010000000000000000000100011100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110101110100011010000000000000000000000000000100000000000000001110010100000000000000000001000111000100011110000000010000000001010000001111111110101000100110000000000001001111111110110001101001011101011101000110100000000000000000000000000001000000000000000011100101000000000000000000010001110001000111100000000100000000010100000011111111111010001001100000000000010011111111101100011010010110010111010011101000000000000000000000000000010000000000000000111001010000000000000000000100011100010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100101110100111010000000000000000000000000000100000000000000001110010100000000000000000001100111000100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011101011101001110100000000000000000000000000001000000000000000011100101000000000000000000011001110001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111010111010011101000000000000000000000000000010000000000000000111001010000000000000000000110011100010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100101110100011010000001000000000000000000000100000000000000001110010100000000000000000001100111000100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011001011101000110100000010000000000000000000001000000000000000011100101000000000000000000010101110001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111010111010001101000000100000000000000000000010000000000000000111001010000000000000000000101011100010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101110101110100011010000001000000000000000000000100000000000000001110010100000000000000000001010111000100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011001011101001110100000010000000000000000000001000000000000000011100101000000000000000000010101110001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110010111010011101000000100000000000000000000010000000000000000111001010000000000000000000111011100010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101110101110100111010000001000000000000000000000100000000000000001110010100000000000000000001110111000100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011101011101001110100000010000000000000000000001000000000000000011100101000000000000000000011101110001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110010111010001101000000000000000001000000000010000000000000000111001010000000000000000000111011100010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100101110100011010000000000000000010000000000100000000000000001110010100000000000000000001001111000100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011101011101000110100000000000000000100000000001000000000000000011100101000000000000000000010011110001000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010111010111010001101000000000000000001000000000010000000000000000111001010000000000000000000100111100010001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101100101110100111010000000000000000010000000000100000000000000001110010100000000000000000001001111000100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011001011101001110100000000000000000100000000001000000000000000011100101000000000000000000011011110001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111010111010011101000000000000000001000000000010000000000000000111001010000000000000000000110111100010001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101110101110100111010000000000000000010000000000100000000000000001110010100000000000000000001101111000100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011001011101000110100000010000000000100000000001000000000000000011100101000000000000000000011011110001000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010110010111010001101000000100000000001000000000010000000000000000111001010000000000000000000101111100010001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101110101110100011010000001000000000010000000000100000000000000001110010100000000000000000001011111000100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011101011101000110100000010000000000100000000001000000000000000011100101000000000000000000010111110001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110010111010011101000000100000000001000000000010000000000000000111001010000000000000000000101111100010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100101110100111010000001000000000010000000000100000000000000001110010100000000000000000001111111000100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011101011101001110100000010000000000100000000001000000000000000011100101000000000000000000011111110001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111010111010011101000000100000000001000000000010000000000000000111001010000000000000000000111111100010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100101110100011010000000000000000000000000000000000000001000001110010100000000000000000001111111000100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011001011101000110100000000000000000000000000000000000000010000011100101000000000000000000010000001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111010111010001101000000000000000000000000000000000000000100000111001010000000000000000000100000010010001111000000001000000000101000000111111111110011000111111010111111111101001011011000110100101110101110100011010000000000000000000000000000000000000001000001110010100000000000000000001000000100100011110000000010000000001010000001111111110100110001111110101111111111010010110110001101001011001011101001110100000000000000000000000000000000000000010000011100101000000000000000000010000001001000111100000000100000000010100000011111111101001100011111101011111111110100101101100011010010110010111010011101000000000000000000000000000000000000000100000111001010000000000000000000110000010010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101110101110100111010000000000000000000000000000000000000001000001110010100000000000000000001100000100100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011101011101001110100000000000000000000000000000000000000010000011100101000000000000000000011000001001000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110010111010001101000000100000000000000000000000000000000100000111001010000000000000000000110000010010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101100101110100011010000001000000000000000000000000000000001000001110010100000000000000000001010000100100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011101011101000110100000010000000000000000000000000000000010000011100101000000000000000000010100001001000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111010111010001101000000100000000000000000000000000000000100000111001010000000000000000000101000010010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101100101110100111010000001000000000000000000000000000000001000001110010100000000000000000001010000100100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011001011101001110100000010000000000000000000000000000000010000011100101000000000000000000011100001001000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111010111010011101000000100000000000000000000000000000000100000111001010000000000000000000111000010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110101110100111010000001000000000000000000000000000000001000001110010100000000000000000001110000100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011001011101000110100000000000000000100000000000000000000010000011100101000000000000000000011100001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110010111010001101000000000000000001000000000000000000000100000111001010000000000000000000100100010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110101110100011010000000000000000010000000000000000000001000001110010100000000000000000001001000100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011101011101000110100000000000000000100000000000000000000010000011100101000000000000000000010010001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000000000000001000000000000000000000100000111001010000000000000000000100100010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100101110100111010000000000000000010000000000000000000001000001110010100000000000000000001101000100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000000000000000100000000000000000000010000011100101000000000000000000011010001001000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010111010111010011101000000000000000001000000000000000000000100000111001010000000000000000000110100010010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101100101110100011010000001000000000010000000000000000000001000001110010100000000000000000001101000100100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011001011101000110100000010000000000100000000000000000000010000011100101000000000000000000010110001001000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010111010111010001101000000100000000001000000000000000000000100000111001010000000000000000000101100010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110101110100011010000001000000000010000000000000000000001000001110010100000000000000000001011000100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000010000000000100000000000000000000010000011100101000000000000000000010110001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000100000000001000000000000000000000100000111001010000000000000000000111100010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110101110100111010000001000000000010000000000000000000001000001110010100000000000000000001111000100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000010000000000100000000000000000000010000011100101000000000000000000011110001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110010111010001101000000000000000000000000000010000000000100000111001010000000000000000000111100010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100101110100011010000000000000000000000000000100000000001000001110010100000000000000000001000100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011101011101000110100000000000000000000000000001000000000010000011100101000000000000000000010001001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111010111010001101000000000000000000000000000010000000000100000111001010000000000000000000100010010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100101110100111010000000000000000000000000000100000000001000001110010100000000000000000001000100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011001011101001110100000000000000000000000000001000000000010000011100101000000000000000000011001001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000000000000000000000000010000000000100000111001010000000000000000000110010010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110101110100111010000000000000000000000000000100000000001000001110010100000000000000000001100100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011001011101000110100000010000000000000000000001000000000010000011100101000000000000000000011001001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110010111010001101000000100000000000000000000010000000000100000111001010000000000000000000101010010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110101110100011010000001000000000000000000000100000000001000001110010100000000000000000001010100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011101011101000110100000010000000000000000000001000000000010000011100101000000000000000000010101001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110010111010011101000000100000000000000000000010000000000100000111001010000000000000000000101010010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100101110100111010000001000000000000000000000100000000001000001110010100000000000000000001110100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011101011101001110100000010000000000000000000001000000000010000011100101000000000000000000011101001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111010111010011101000000100000000000000000000010000000000100000111001010000000000000000000111010010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100100101110100011010000000000000000010000000000100000000001000001110010100000000000000000001110100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001001011101000110100000000000000000100000000001000000000010000011100101000000000000000000010011001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011010111010001101000000000000000001000000000010000000000100000111001010000000000000000000100110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100110101110100011010000000000000000010000000000100000000001000001110010100000000000000000001001100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001001011101001110100000000000000000100000000001000000000010000011100101000000000000000000010011001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010010111010011101000000000000000001000000000010000000000100000111001010000000000000000000110110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100110101110100111010000000000000000010000000000100000000001000001110010100000000000000000001101100100100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001101011101001110100000000000000000100000000001000000000010000011100101000000000000000000011011001001000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010010010111010001101000000100000000001000000000010000000000100000111001010000000000000000000110110010010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100100101110100011010000001000000000010000000000100000000001000001110010100000000000000000001011100100100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001101011101000110100000010000000000100000000001000000000010000011100101000000000000000000010111001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011010111010001101000000100000000001000000000010000000000100000111001010000000000000000000101110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100100101110100111010000001000000000010000000000100000000001000001110010100000000000000000001011100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001001011101001110100000010000000000100000000001000000000010000011100101000000000000000000011111001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011010111010011101000000100000000001000000000010000000000100000111001010000000000000000000111110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100110101110100111010000001000000000010000000000100000000001000001110010100000000000000000001111100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001001011101000110100000000000000000000000000000000000000000000111100101000000000000000000011111001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010010111010001101000000000000000000000000000000000000000000001111001010000000000000000000100001010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100110101110100011010000000000000000000000000000000000000000000011110010100000000000000000001000010100100011110000000010000000001010000001111111110101110001111110101010100111010010001010011101001001101011101000110100000000000000000000000000000000000000000000111100101000000000000000000010000101001000111100000000100000000010100000011111111101011100011111101010101001110100100010100111010010010010111010011101000000000000000000000000000000000000000000001111001010000000000000000000100001010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100100101110100111010000000000000000000000000000000000000000000011110010100000000000000000001100010100100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001101011101001110100000000000000000000000000000000000000000000111100101000000000000000000011000101001000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011010111010011101000000000000000000000000000000000000000000001111001010000000000000000000110001010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100100101110100011010000001000000000000000000000000000000000000011110010100000000000000000001100010100100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001001011101000110100000010000000000000000000000000000000000000111100101000000000000000000010100101001000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011010111010001101000000100000000000000000000000000000000000001111001010000000000000000000101001010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100110101110100011010000001000000000000000000000000000000000000011110010100000000000000000001010010100100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001001011101001110100000010000000000000000000000000000000000000111100101000000000000000000010100101001000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010010111010011101000000100000000000000000000000000000000000001111001010000000000000000000111001010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100110101110100111010000001000000000000000000000000000000000000011110010100000000000000000001110010100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001101011101001110100000010000000000000000000000000000000000000111100101000000000000000000011100101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010010111010001101000000000000000001000000000000000000000000001111001010000000000000000000111001010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100101110100011010000000000000000010000000000000000000000000011110010100000000000000000001001010100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001101011101000110100000000000000000100000000000000000000000000111100101000000000000000000010010101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011010111010001101000000000000000001000000000000000000000000001111001010000000000000000000100101010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100101110100111010000000000000000010000000000000000000000000011110010100000000000000000001001010100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001001011101001110100000000000000000100000000000000000000000000111100101000000000000000000011010101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011010111010011101000000000000000001000000000000000000000000001111001010000000000000000000110101010010001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100110101110100111010000000000000000010000000000000000000000000011110010100000000000000000001101010100100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001001011101000110100000010000000000100000000000000000000000000111100101000000000000000000011010101001000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010010010111010001101000000100000000001000000000000000000000000001111001010000000000000000000101101010010001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100110101110100011010000001000000000010000000000000000000000000011110010100000000000000000001011010100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001101011101000110100000010000000000100000000000000000000000000111100101000000000000000000010110101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010010111010011101000000100000000001000000000000000000000000001111001010000000000000000000101101010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100101110100111010000001000000000010000000000000000000000000011110010100000000000000000001111010100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001101011101001110100000010000000000100000000000000000000000000111100101000000000000000000011110101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011010111010011101000000100000000001000000000000000000000000001111001010000000000000000000111101010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100101110100011010000000000000000000000000000100000000000000011110010100000000000000000001111010100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001001011101000110100000000000000000000000000001000000000000000111100101000000000000000000010001101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011010111010001101000000000000000000000000000010000000000000001111001010000000000000000000100011010010001111000000001000000000101000000111111111010011000100000110100001011101001000000101110100100110101110100011010000000000000000000000000000100000000000000011110010100000000000000000001000110100100011110000000010000000001010000001111111110100110001000001101000010111010010000001011101001001001011101001110100000000000000000000000000001000000000000000111100101000000000000000000010001101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000000000000000000000000010000000000000001111001010000000000000000000110011010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110101110100111010000000000000000000000000000100000000000000011110010100000000000000000001100110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000000000000000000000000001000000000000000111100101000000000000000000011001101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010010111010001101000000100000000000000000000010000000000000001111001010000000000000000000110011010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100101110100011010000001000000000000000000000100000000000000011110010100000000000000000001010110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001101011101000110100000010000000000000000000001000000000000000111100101000000000000000000010101101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011010111010001101000000100000000000000000000010000000000000001111001010000000000000000000101011010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100101110100111010000001000000000000000000000100000000000000011110010100000000000000000001010110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000010000000000000000000001000000000000000111100101000000000000000000011101101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000100000000000000000000010000000000000001111001010000000000000000000111011010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110101110100111010000001000000000000000000000100000000000000011110010100000000000000000001110110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001001011101000110100000000000000000100000000001000000000000000111100101000000000000000000011101101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010010111010001101000000000000000001000000000010000000000000001111001010000000000000000000100111010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110101110100011010000000000000000010000000000100000000000000011110010100000000000000000001001110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001101011101000110100000000000000000100000000001000000000000000111100101000000000000000000010011101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000000000000001000000000010000000000000001111001010000000000000000000100111010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100101110100111010000000000000000010000000000100000000000000011110010100000000000000000001101110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000000000000000100000000001000000000000000111100101000000000000000000011011101001000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010011010111010011101000000000000000001000000000010000000000000001111001010000000000000000000110111010010001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100100101110100011010000001000000000010000000000100000000000000011110010100000000000000000001101110100100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001001011101000110100000010000000000100000000001000000000000000111100101000000000000000000010111101001000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010011010111010001101000000100000000001000000000010000000000000001111001010000000000000000000101111010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110101110100011010000001000000000010000000000100000000000000011110010100000000000000000001011110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000010000000000100000000001000000000000000111100101000000000000000000010111101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010010111010011101000000100000000001000000000010000000000000001111001010000000000000000000111111010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110101110100111010000001000000000010000000000100000000000000011110010100000000000000000001111110100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001101011101001110100000010000000000100000000001000000000000000111100101000000000000000000011111101001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010010111010001101000000000000000000000000000000000000000100001111001010000000000000000000111111010010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100101110100011010000000000000000000000000000000000000001000011110010100000000000000000001000001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001101011101000110100000000000000000000000000000000000000010000111100101000000000000000000010000011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011010111010001101000000000000000000000000000000000000000100001111001010000000000000000000100000110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100101110100111010000000000000000000000000000000000000001000011110010100000000000000000001000001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001001011101001110100000000000000000000000000000000000000010000111100101000000000000000000011000011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011010111010011101000000000000000000000000000000000000000100001111001010000000000000000000110000110010001111000000001000000000101000000111111111010011000111011010110101111101001000000101110100100110101110100111010000000000000000000000000000000000000001000011110010100000000000000000001100001100100011110000000010000000001010000001111111110100110001110110101101011111010010000001011101001001001011101000110100000010000000000000000000000000000000010000111100101000000000000000000011000011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010010111010001101000000100000000000000000000000000000000100001111001010000000000000000000101000110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110101110100011010000001000000000000000000000000000000001000011110010100000000000000000001010001100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000010000000000000000000000000000000010000111100101000000000000000000010100011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000100000000000000000000000000000000100001111001010000000000000000000101000110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100101110100111010000001000000000000000000000000000000001000011110010100000000000000000001110001100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000010000000000000000000000000000000010000111100101000000000000000000011100011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000100000000000000000000000000000000100001111001010000000000000000000111000110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100101110100011010000000000000000010000000000000000000001000011110010100000000000000000001110001100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001001011101000110100000000000000000100000000000000000000010000111100101000000000000000000010010011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000000000000001000000000000000000000100001111001010000000000000000000100100110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110101110100011010000000000000000010000000000000000000001000011110010100000000000000000001001001100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000000000000000100000000000000000000010000111100101000000000000000000010010011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000000000000001000000000000000000000100001111001010000000000000000000110100110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110101110100111010000000000000000010000000000000000000001000011110010100000000000000000001101001100100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001101011101001110100000000000000000100000000000000000000010000111100101000000000000000000011010011001000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010010010111010001101000000100000000001000000000000000000000100001111001010000000000000000000110100110010001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100100101110100011010000001000000000010000000000000000000001000011110010100000000000000000001011001100100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001101011101000110100000010000000000100000000000000000000010000111100101000000000000000000010110011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000100000000001000000000000000000000100001111001010000000000000000000101100110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100101110100111010000001000000000010000000000000000000001000011110010100000000000000000001011001100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000010000000000100000000000000000000010000111100101000000000000000000011110011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000100000000001000000000000000000000100001111001010000000000000000000111100110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110101110100111010000001000000000010000000000000000000001000011110010100000000000000000001111001100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001001011101000110100000000000000000000000000001000000000010000111100101000000000000000000011110011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010010111010001101000000000000000000000000000010000000000100001111001010000000000000000000100010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110101110100011010000000000000000000000000000100000000001000011110010100000000000000000001000101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001101011101000110100000000000000000000000000001000000000010000111100101000000000000000000010001011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000000000000000000000000010000000000100001111001010000000000000000000100010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100101110100111010000000000000000000000000000100000000001000011110010100000000000000000001100101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001101011101001110100000000000000000000000000001000000000010000111100101000000000000000000011001011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011010111010011101000000000000000000000000000010000000000100001111001010000000000000000000110010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100101110100011010000001000000000000000000000100000000001000011110010100000000000000000001100101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001001011101000110100000010000000000000000000001000000000010000111100101000000000000000000010101011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011010111010001101000000100000000000000000000010000000000100001111001010000000000000000000101010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110101110100011010000001000000000000000000000100000000001000011110010100000000000000000001010101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001001011101001110100000010000000000000000000001000000000010000111100101000000000000000000010101011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010010111010011101000000100000000000000000000010000000000100001111001010000000000000000000111010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110101110100111010000001000000000000000000000100000000001000011110010100000000000000000001110101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001101011101001110100000010000000000000000000001000000000010000111100101000000000000000000011101011001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010010111010001101000000000000000001000000000010000000000100001111001010000000000000000000111010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100100101110100011010000000000000000010000000000100000000001000011110010100000000000000000001001101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001101011101000110100000000000000000100000000001000000000010000111100101000000000000000000010011011001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011010111010001101000000000000000001000000000010000000000100001111001010000000000000000000100110110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100100101110100111010000000000000000010000000000100000000001000011110010100000000000000000001001101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001001011101001110100000000000000000100000000001000000000010000111100101000000000000000000011011011001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011010111010011101000000000000000001000000000010000000000100001111001010000000000000000000110110110010001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100110101110100111010000000000000000010000000000100000000001000011110010100000000000000000001101101100100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001001011101000110100000010000000000100000000001000000000010000111100101000000000000000000011011011001000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010010010111010001101000000100000000001000000000010000000000100001111001010000000000000000000101110110010001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100110101110100011010000001000000000010000000000100000000001000011110010100000000000000000001011101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001101011101000110100000010000000000100000000001000000000010000111100101000000000000000000010111011001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010010111010011101000000100000000001000000000010000000000100001111001010000000000000000000101110110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100100101110100111010000001000000000010000000000100000000001000011110010100000000000000000001111101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001101011101001110100000010000000000100000000001000000000010000111100101000000000000000000011111011001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011010111010011101000000100000000001000000000010000000000100001111001010000000000000000000111110110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100011010000000000000000000000000000000000000000000000000010100000000000000000001111101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101000110100000000000000000000000000000000000000000000000000101000000000000000000010000111001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010001101000000000000000000000000000000000000000000000000001010000000000000000000100001110010001111000000001000000000101000000111111111010111000111011010100001101101001000000110110100100111101110100011010000000000000000000000000000000000000000000000000010100000000000000000001000011100100011110000000010000000001010000001111111110101110001110110101000011011010010000001101101001001011011101001110100000000000000000000000000000000000000000000000000101000000000000000000010000111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000000000000000000000000000000000000000000000001010000000000000000000110001110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000000000000000000000000000000000000000000000000010100000000000000000001100011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000000000000000000000000000000000000000000000000101000000000000000000011000111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000100000000000000000000000000000000000000000001010000000000000000000110001110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000001000000000000000000000000000000000000000000010100000000000000000001010011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000010000000000000000000000000000000000000000000101000000000000000000010100111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000100000000000000000000000000000000000000000001010000000000000000000101001110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000001000000000000000000000000000000000000000000010100000000000000000001010011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000010000000000000000000000000000000000000000000101000000000000000000011100111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000100000000000000000000000000000000000000000001010000000000000000000111001110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000001000000000000000000000000000000000000000000010100000000000000000001110011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101000110100000000000000000100000000000000000000000000000000101000000000000000000011100111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000000000000001000000000000000000000000000000001010000000000000000000100101110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000000000000000010000000000000000000000000000000010100000000000000000001001011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000000000000000100000000000000000000000000000000101000000000000000000010010111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000000000000001000000000000000000000000000000001010000000000000000000100101110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000000000000000010000000000000000000000000000000010100000000000000000001101011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000000000000000100000000000000000000000000000000101000000000000000000011010111001000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010011110111010011101000000000000000001000000000000000000000000000000001010000000000000000000110101110010001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100101101110100011010000001000000000010000000000000000000000000000000010100000000000000000001101011100100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001011011101000110100000010000000000100000000000000000000000000000000101000000000000000000010110111001000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010011110111010001101000000100000000001000000000000000000000000000000001010000000000000000000101101110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000001000000000010000000000000000000000000000000010100000000000000000001011011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000010000000000100000000000000000000000000000000101000000000000000000010110111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000100000000001000000000000000000000000000000001010000000000000000000111101110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000001000000000010000000000000000000000000000000010100000000000000000001111011100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000010000000000100000000000000000000000000000000101000000000000000000011110111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000000000000000000000000010000000000000000000001010000000000000000000111101110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000000000000000000000000000100000000000000000000010100000000000000000001000111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000000000000000000000000001000000000000000000000101000000000000000000010001111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000000000000000000000000010000000000000000000001010000000000000000000100011110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000000000000000000000000000100000000000000000000010100000000000000000001000111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000000000000000000000000001000000000000000000000101000000000000000000011001111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000000000000000000000000010000000000000000000001010000000000000000000110011110010001111000000001000000000101000000111111111010111000100111010110000000101001000000110110100100111101110100111010000000000000000000000000000100000000000000000000010100000000000000000001100111100100011110000000010000000001010000001111111110101110001001110101100000001010010000001101101001001011011101000110100000010000000000000000000001000000000000000000000101000000000000000000011001111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000100000000000000000000010000000000000000000001010000000000000000000101011110010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000000000000000100000000000000000000010100000000000000000001010111100100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000000000000001000000000000000000000101000000000000000000010101111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000000000000000010000000000000000000001010000000000000000000101011110010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000000000000000100000000000000000000010100000000000000000001110111100100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000010000000000000000000001000000000000000000000101000000000000000000011101111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000100000000000000000000010000000000000000000001010000000000000000000111011110010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000000000000000010000000000100000000000000000000010100000000000000000001110111100100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000000000000000100000000001000000000000000000000101000000000000000000010011111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000000000000001000000000010000000000000000000001010000000000000000000100111110010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000000000000000010000000000100000000000000000000010100000000000000000001001111100100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000000000000000100000000001000000000000000000000101000000000000000000010011111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000000000000001000000000010000000000000000000001010000000000000000000110111110010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000000000000000010000000000100000000000000000000010100000000000000000001101111100100011110000000010000000001000000001111111110101110001001110101100011011010010000001101101001001111011101001110100000000000000000100000000001000000000000000000000101000000000000000000011011111001000111100000000100000000010000000011111111101011100010011101011000110110100100000011011010010010110111010001101000000100000000001000000000010000000000000000000001010000000000000000000110111110010001111000000001000000000100000000111111111010111000100111010110001101101001000000110110100100101101110100011010000001000000000010000000000100000000000000000000010100000000000000000001011111100100011110000000010000000001000000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000100000000001000000000000000000000101000000000000000000010111111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000001000000000010000000000000000000001010000000000000000000101111110010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000010000000000100000000000000000000010100000000000000000001011111100100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000100000000001000000000000000000000101000000000000000000011111111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000100000000001000000000010000000000000000000001010000000000000000000111111110010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000001000000000010000000000100000000000000000000010100000000000000000001111111100100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000000000000000000000000000000000000010000000000101000000000000000000011111111001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000000000000000000000000000000000000100000000001010000000000000000000100000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000000000000000000000000000000000000001000000000010100000000000000000001000000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000000000000000000000000000000000000010000000000101000000000000000000010000000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000000000000000000000000000000000000100000000001010000000000000000000100000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000000000000000000000000000000000000001000000000010100000000000000000001100000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000000000000000000000000000000000000010000000000101000000000000000000011000000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000000000000000000000000000000000000100000000001010000000000000000000110000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000001000000000000000000000000000000001000000000010100000000000000000001100000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000010000000000000000000000000000000010000000000101000000000000000000010100000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000000000000000000000000000100000000001010000000000000000000101000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000000000000000000000000001000000000010100000000000000000001010000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000000000000000000000000010000000000101000000000000000000010100000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000000000000000000000000000100000000001010000000000000000000111000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000001000000000000000000000000000000001000000000010100000000000000000001110000010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101001110100000010000000000000000000000000000000010000000000101000000000000000000011100000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010001101000000000000000001000000000000000000000100000000001010000000000000000000111000001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100011010000000000000000010000000000000000000001000000000010100000000000000000001001000010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101000110100000000000000000100000000000000000000010000000000101000000000000000000010010000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010001101000000000000000001000000000000000000000100000000001010000000000000000000100100001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100111010000000000000000010000000000000000000001000000000010100000000000000000001001000010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101001110100000000000000000100000000000000000000010000000000101000000000000000000011010000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010011101000000000000000001000000000000000000000100000000001010000000000000000000110100001010001111000000001000000000100000000111111111010111000100111010110001101101001001000110110100100111101110100111010000000000000000010000000000000000000001000000000010100000000000000000001101000010100011110000000010000000001000000001111111110101110001001110101100011011010010010001101101001001011011101000110100000010000000000100000000000000000000010000000000101000000000000000000011010000101000111100000000100000000010000000011111111101011100010011101011000110110100100100011011010010010110111010001101000000100000000001000000000000000000000100000000001010000000000000000000101100001010001111000000001000000000100000000111111111010111000100111010110001101101001001000110110100100111101110100011010000001000000000010000000000000000000001000000000010100000000000000000001011000010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101000110100000010000000000100000000000000000000010000000000101000000000000000000010110000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010011101000000100000000001000000000000000000000100000000001010000000000000000000101100001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100111010000001000000000010000000000000000000001000000000010100000000000000000001111000010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101001110100000010000000000100000000000000000000010000000000101000000000000000000011110000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010011101000000100000000001000000000000000000000100000000001010000000000000000000111100001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100011010000000000000000000000000000100000000001000000000010100000000000000000001111000010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101000110100000000000000000000000000001000000000010000000000101000000000000000000010001000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010001101000000000000000000000000000010000000000100000000001010000000000000000000100010001010001111000000001000000000101000000111111111010011000110111010110001101101001001000110110100100111101110100011010000000000000000000000000000100000000001000000000010100000000000000000001000100010100011110000000010000000001010000001111111110100110001101110101100011011010010010001101101001001011011101001110100000000000000000000000000001000000000010000000000101000000000000000000010001000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000000000000000000000000010000000000100000000001010000000000000000000110010001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000000000000000000000000000100000000001000000000010100000000000000000001100100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000000000000000000000000001000000000010000000000101000000000000000000011001000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000100000000000000000000010000000000100000000001010000000000000000000110010001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000001000000000000000000000100000000001000000000010100000000000000000001010100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000010000000000000000000001000000000010000000000101000000000000000000010101000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010001101000000100000000000000000000010000000000100000000001010000000000000000000101010001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000001000000000000000000000100000000001000000000010100000000000000000001010100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000010000000000000000000001000000000010000000000101000000000000000000011101000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000100000000000000000000010000000000100000000001010000000000000000000111010001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000001000000000000000000000100000000001000000000010100000000000000000001110100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101000110100000000000000000100000000001000000000010000000000101000000000000000000011101000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000000000000001000000000010000000000100000000001010000000000000000000100110001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000000000000000010000000000100000000001000000000010100000000000000000001001100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000000000000000100000000001000000000010000000000101000000000000000000010011000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000000000000001000000000010000000000100000000001010000000000000000000100110001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000000000000000010000000000100000000001000000000010100000000000000000001101100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000000000000000100000000001000000000010000000000101000000000000000000011011000101000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010011110111010011101000000000000000001000000000010000000000100000000001010000000000000000000110110001010001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100101101110100011010000001000000000010000000000100000000001000000000010100000000000000000001101100010100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001011011101000110100000010000000000100000000001000000000010000000000101000000000000000000010111000101000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010011110111010001101000000100000000001000000000010000000000100000000001010000000000000000000101110001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000001000000000010000000000100000000001000000000010100000000000000000001011100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000010000000000100000000001000000000010000000000101000000000000000000010111000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000100000000001000000000010000000000100000000001010000000000000000000111110001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000001000000000010000000000100000000001000000000010100000000000000000001111100010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000010000000000100000000001000000000010000000000101000000000000000000011111000101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000000000000000000000000000000000000000001000001010000000000000000000111110001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000000000000000000000000000000000000000000010000010100000000000000000001000010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000000000000000000000000000000000000000000100000101000000000000000000010000100101000111100000000100000000010100000011111111101000000000000000000000000010100100100011011010010011110111010001101000000000000000000000000000000000000000000001000001010000000000000000000100001001010001111000000001000000000101000000111111111010000000000000000000000000101001001000110110100100101101110100111010000000000000000000000000000000000000000000010000010100000000000000000001000010010100011110000000010000000001010000001111111110100000000000000000000111101010010010001101101001001011011101001110100000000000000000000000000000000000000000000100000101000000000000000000011000100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000000000000000000000000000000000000000001000001010000000000000000000110001001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000000000000000000000000000000000000000000010000010100000000000000000001100010010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000010000000000000000000000000000000000000100000101000000000000000000011000100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000100000000000000000000000000000000000001000001010000000000000000000101001001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000001000000000000000000000000000000000000010000010100000000000000000001010010010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101000110100000010000000000000000000000000000000000000100000101000000000000000000010100100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000100000000000000000000000000000000000001000001010000000000000000000101001001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000001000000000000000000000000000000000000010000010100000000000000000001110010010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000010000000000000000000000000000000000000100000101000000000000000000011100100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000100000000000000000000000000000000000001000001010000000000000000000111001001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100011010000000000000000010000000000000000000000000010000010100000000000000000001110010010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000000000000000100000000000000000000000000100000101000000000000000000010010100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000000000000001000000000000000000000000001000001010000000000000000000100101001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000000000000000010000000000000000000000000010000010100000000000000000001001010010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000000000000000100000000000000000000000000100000101000000000000000000010010100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000000000000001000000000000000000000000001000001010000000000000000000110101001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000000000000000010000000000000000000000000010000010100000000000000000001101010010100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001111011101001110100000000000000000100000000000000000000000000100000101000000000000000000011010100101000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010010110111010001101000000100000000001000000000000000000000000001000001010000000000000000000110101001010001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100101101110100011010000001000000000010000000000000000000000000010000010100000000000000000001011010010100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001111011101000110100000010000000000100000000000000000000000000100000101000000000000000000010110100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000100000000001000000000000000000000000001000001010000000000000000000101101001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000001000000000010000000000000000000000000010000010100000000000000000001011010010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000010000000000100000000000000000000000000100000101000000000000000000011110100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000100000000001000000000000000000000000001000001010000000000000000000111101001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000001000000000010000000000000000000000000010000010100000000000000000001111010010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000000000000000000000000001000000000000000100000101000000000000000000011110100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000000000000000000000000010000000000000001000001010000000000000000000100011001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000000000000000000000000000100000000000000010000010100000000000000000001000110010100011110000000010000000001010000001111111110101000000000000000001010101010010010001101101001001111011101000110100000000000000000000000000001000000000000000100000101000000000000000000010001100101000111100000000100000000010100000011111111101010000000000000000010101010100100100011011010010010110111010011101000000000000000000000000000010000000000000001000001010000000000000000000100011001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000000000000000000000000000100000000000000010000010100000000000000000001100110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000000000000000000000000001000000000000000100000101000000000000000000011001100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000000000000000000000000010000000000000001000001010000000000000000000110011001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000001000000000000000000000100000000000000010000010100000000000000000001100110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000010000000000000000000001000000000000000100000101000000000000000000010101100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000100000000000000000000010000000000000001000001010000000000000000000101011001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100011010000001000000000000000000000100000000000000010000010100000000000000000001010110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000010000000000000000000001000000000000000100000101000000000000000000010101100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000100000000000000000000010000000000000001000001010000000000000000000111011001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000001000000000000000000000100000000000000010000010100000000000000000001110110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000010000000000000000000001000000000000000100000101000000000000000000011101100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010001101000000000000000001000000000010000000000000001000001010000000000000000000111011001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000000000000000010000000000100000000000000010000010100000000000000000001001110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000000000000000100000000001000000000000000100000101000000000000000000010011100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000000000000001000000000010000000000000001000001010000000000000000000100111001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000000000000000010000000000100000000000000010000010100000000000000000001001110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000000000000000100000000001000000000000000100000101000000000000000000011011100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000000000000001000000000010000000000000001000001010000000000000000000110111001010001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100111101110100111010000000000000000010000000000100000000000000010000010100000000000000000001101110010100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001011011101000110100000010000000000100000000001000000000000000100000101000000000000000000011011100101000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010010110111010001101000000100000000001000000000010000000000000001000001010000000000000000000101111001010001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100111101110100011010000001000000000010000000000100000000000000010000010100000000000000000001011110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000010000000000100000000001000000000000000100000101000000000000000000010111100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000100000000001000000000010000000000000001000001010000000000000000000101111001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000001000000000010000000000100000000000000010000010100000000000000000001111110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000010000000000100000000001000000000000000100000101000000000000000000011111100101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000100000000001000000000010000000000000001000001010000000000000000000111111001010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000000000000000000000000000000000000001000010000010100000000000000000001111110010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000000000000000000000000000000000000010000100000101000000000000000000010000010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000000000000000000000000000000000000100001000001010000000000000000000100000101010001111000000001000000000101000000111111111010100000011010101111000000101001001000110110100100111101110100011010000000000000000000000000000000000000001000010000010100000000000000000001000001010100011110000000010000000001010000001111111110101000000110101011110000001010010010001101101001001011011101001110100000000000000000000000000000000000000010000100000101000000000000000000010000010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010110111010011101000000000000000000000000000000000000000100001000001010000000000000000000110000101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100111010000000000000000000000000000000000000001000010000010100000000000000000001100001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101001110100000000000000000000000000000000000000010000100000101000000000000000000011000010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010110111010001101000000100000000000000000000000000000000100001000001010000000000000000000110000101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100101101110100011010000001000000000000000000000000000000001000010000010100000000000000000001010001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101000110100000010000000000000000000000000000000010000100000101000000000000000000010100010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010001101000000100000000000000000000000000000000100001000001010000000000000000000101000101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100101101110100111010000001000000000000000000000000000000001000010000010100000000000000000001010001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001011011101001110100000010000000000000000000000000000000010000100000101000000000000000000011100010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010011101000000100000000000000000000000000000000100001000001010000000000000000000111000101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100111010000001000000000000000000000000000000001000010000010100000000000000000001110001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101000110100000000000000000100000000000000000000010000100000101000000000000000000011100010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010001101000000000000000001000000000000000000000100001000001010000000000000000000100100101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100011010000000000000000010000000000000000000001000010000010100000000000000000001001001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101000110100000000000000000100000000000000000000010000100000101000000000000000000010010010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010011101000000000000000001000000000000000000000100001000001010000000000000000000100100101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100111010000000000000000010000000000000000000001000010000010100000000000000000001101001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101001110100000000000000000100000000000000000000010000100000101000000000000000000011010010101000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010111110111010011101000000000000000001000000000000000000000100001000001010000000000000000000110100101010001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101101101110100011010000001000000000010000000000000000000001000010000010100000000000000000001101001010100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011011011101000110100000010000000000100000000000000000000010000100000101000000000000000000010110010101000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010111110111010001101000000100000000001000000000000000000000100001000001010000000000000000000101100101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100011010000001000000000010000000000000000000001000010000010100000000000000000001011001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101001110100000010000000000100000000000000000000010000100000101000000000000000000010110010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010011101000000100000000001000000000000000000000100001000001010000000000000000000111100101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100111010000001000000000010000000000000000000001000010000010100000000000000000001111001010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101001110100000010000000000100000000000000000000010000100000101000000000000000000011110010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010001101000000000000000000000000000010000000000100001000001010000000000000000000111100101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100011010000000000000000000000000000100000000001000010000010100000000000000000001000101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101000110100000000000000000000000000001000000000010000100000101000000000000000000010001010101000111100000000100000000010100000011111111101011100011011101011111111110100101100011011010010111110111010001101000000000000000000000000000010000000000100001000001010000000000000000000100010101010001111000000001000000000101000000111111111010111000110111010111111111101001011000110110100101101101110100111010000000000000000000000000000100000000001000010000010100000000000000000001000101010100011110000000010000000001010000001111111110101110001101110101000000001010010110001101101001011011011101001110100000000000000000000000000001000000000010000100000101000000000000000000011001010101000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111110111010011101000000000000000000000000000010000000000100001000001010000000000000000000110010101010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101111101110100111010000000000000000000000000000100000000001000010000010100000000000000000001100101010100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011011011101000110100000010000000000000000000001000000000010000100000101000000000000000000011001010101000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110110111010001101000000100000000000000000000010000000000100001000001010000000000000000000101010101010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101111101110100011010000001000000000000000000000100000000001000010000010100000000000000000001010101010100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011111011101000110100000010000000000000000000001000000000010000100000101000000000000000000010101010101000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110110111010011101000000100000000000000000000010000000000100001000001010000000000000000000101010101010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101101101110100111010000001000000000000000000000100000000001000010000010100000000000000000001110101010100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011111011101001110100000010000000000000000000001000000000010000100000101000000000000000000011101010101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010011101000000100000000000000000000010000000000100001000001010000000000000000000111010101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100011010000000000000000010000000000100000000001000010000010100000000000000000001110101010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101000110100000000000000000100000000001000000000010000100000101000000000000000000010011010101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010001101000000000000000001000000000010000000000100001000001010000000000000000000100110101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100011010000000000000000010000000000100000000001000010000010100000000000000000001001101010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101001110100000000000000000100000000001000000000010000100000101000000000000000000010011010101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010011101000000000000000001000000000010000000000100001000001010000000000000000000110110101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100111010000000000000000010000000000100000000001000010000010100000000000000000001101101010100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011111011101001110100000000000000000100000000001000000000010000100000101000000000000000000011011010101000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010110110111010001101000000100000000001000000000010000000000100001000001010000000000000000000110110101010001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101101101110100011010000001000000000010000000000100000000001000010000010100000000000000000001011101010100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011111011101000110100000010000000000100000000001000000000010000100000101000000000000000000010111010101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010001101000000100000000001000000000010000000000100001000001010000000000000000000101110101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100111010000001000000000010000000000100000000001000010000010100000000000000000001011101010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101001110100000010000000000100000000001000000000010000100000101000000000000000000011111010101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010011101000000100000000001000000000010000000000100001000001010000000000000000000111110101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100111010000001000000000010000000000100000000001000010000010100000000000000000001111101010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101000110100000000000000000000000000000000000000000000010000101000000000000000000011111010101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010001101000000000000000000000000000000000000000000000100001010000000000000000000100001101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100011010000000000000000000000000000000000000000000001000010100000000000000000001000011010100011110000000010000000001010000001111111110100110001011110101101100011010010110110001101001011111011101000110100000000000000000000000000000000000000000000010000101000000000000000000010000110101000111100000000100000000010100000011111111101001100010111101011011000110100101101100011010010110110111010011101000000000000000000000000000000000000000000000100001010000000000000000000100001101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000000000000000000000000000000000000000000001000010100000000000000000001100011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000000000000000000000000000000000000000000010000101000000000000000000011000110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000000000000000000000000000000000000000000100001010000000000000000000110001101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000001000000000000000000000000000000000000001000010100000000000000000001100011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000010000000000000000000000000000000000000010000101000000000000000000010100110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000100000000000000000000000000000000000000100001010000000000000000000101001101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100011010000001000000000000000000000000000000000000001000010100000000000000000001010011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000010000000000000000000000000000000000000010000101000000000000000000010100110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000100000000000000000000000000000000000000100001010000000000000000000111001101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000001000000000000000000000000000000000000001000010100000000000000000001110011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000010000000000000000000000000000000000000010000101000000000000000000011100110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010001101000000000000000001000000000000000000000000000100001010000000000000000000111001101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000000000000000010000000000000000000000000001000010100000000000000000001001011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000000000000000100000000000000000000000000010000101000000000000000000010010110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000000000000001000000000000000000000000000100001010000000000000000000100101101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000000000000000010000000000000000000000000001000010100000000000000000001001011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000000000000000100000000000000000000000000010000101000000000000000000011010110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000000000000001000000000000000000000000000100001010000000000000000000110101101010001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101111101110100111010000000000000000010000000000000000000000000001000010100000000000000000001101011010100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011011011101000110100000010000000000100000000000000000000000000010000101000000000000000000011010110101000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010110110111010001101000000100000000001000000000000000000000000000100001010000000000000000000101101101010001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101111101110100011010000001000000000010000000000000000000000000001000010100000000000000000001011011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000010000000000100000000000000000000000000010000101000000000000000000010110110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000100000000001000000000000000000000000000100001010000000000000000000101101101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000001000000000010000000000000000000000000001000010100000000000000000001111011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000010000000000100000000000000000000000000010000101000000000000000000011110110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000100000000001000000000000000000000000000100001010000000000000000000111101101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000000000000000000000000000100000000000000001000010100000000000000000001111011010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000000000000000000000000001000000000000000010000101000000000000000000010001110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000000000000000000000000010000000000000000100001010000000000000000000100011101010001111000000001000000000101000000111111111010111000101111010111100000101001011011000110100101111101110100011010000000000000000000000000000100000000000000001000010100000000000000000001000111010100011110000000010000000001010000001111111110101110001011110101111000001010010110110001101001011011011101001110100000000000000000000000000001000000000000000010000101000000000000000000010001110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000000000000000000000000010000000000000000100001010000000000000000000110011101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000000000000000000000000000100000000000000001000010100000000000000000001100111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000000000000000000000000001000000000000000010000101000000000000000000011001110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000100000000000000000000010000000000000000100001010000000000000000000110011101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000001000000000000000000000100000000000000001000010100000000000000000001010111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000010000000000000000000001000000000000000010000101000000000000000000010101110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010001101000000100000000000000000000010000000000000000100001010000000000000000000101011101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000001000000000000000000000100000000000000001000010100000000000000000001010111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000010000000000000000000001000000000000000010000101000000000000000000011101110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000100000000000000000000010000000000000000100001010000000000000000000111011101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000001000000000000000000000100000000000000001000010100000000000000000001110111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101000110100000000000000000100000000001000000000000000010000101000000000000000000011101110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000000000000001000000000010000000000000000100001010000000000000000000100111101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000000000000000010000000000100000000000000001000010100000000000000000001001111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000000000000000100000000001000000000000000010000101000000000000000000010011110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000000000000001000000000010000000000000000100001010000000000000000000100111101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000000000000000010000000000100000000000000001000010100000000000000000001101111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000000000000000100000000001000000000000000010000101000000000000000000011011110101000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010111110111010011101000000000000000001000000000010000000000000000100001010000000000000000000110111101010001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101101101110100011010000001000000000010000000000100000000000000001000010100000000000000000001101111010100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011011011101000110100000010000000000100000000001000000000000000010000101000000000000000000010111110101000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010111110111010001101000000100000000001000000000010000000000000000100001010000000000000000000101111101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000001000000000010000000000100000000000000001000010100000000000000000001011111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000010000000000100000000001000000000000000010000101000000000000000000010111110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000100000000001000000000010000000000000000100001010000000000000000000111111101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000001000000000010000000000100000000000000001000010100000000000000000001111111010100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000010000000000100000000001000000000000000010000101000000000000000000011111110101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000000000000000000000000000000000000100000100001010000000000000000000111111101010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000000000000000000000000000000000000001000001000010100000000000000000001000000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000000000000000000000000000000000000010000010000101000000000000000000010000001101000111100000000100000000010100000011111111101010001001100000000000010011111111101100011010010111110111010001101000000000000000000000000000000000000000100000100001010000000000000000000100000011010001111000000001000000000101000000111111111110100010011000000000000100111111111011000110100101101101110100111010000000000000000000000000000000000000001000001000010100000000000000000001000000110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101001110100000000000000000000000000000000000000010000010000101000000000000000000011000001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000000000000000000000000000000000000100000100001010000000000000000000110000011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000000000000000000000000000000000000001000001000010100000000000000000001100000110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000010000000000000000000000000000000010000010000101000000000000000000011000001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000100000000000000000000000000000000100000100001010000000000000000000101000011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100011010000001000000000000000000000000000000001000001000010100000000000000000001010000110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101000110100000010000000000000000000000000000000010000010000101000000000000000000010100001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010011101000000100000000000000000000000000000000100000100001010000000000000000000101000011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100111010000001000000000000000000000000000000001000001000010100000000000000000001110000110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000010000000000000000000000000000000010000010000101000000000000000000011100001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000100000000000000000000000000000000100000100001010000000000000000000111000011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100011010000000000000000010000000000000000000001000001000010100000000000000000001110000110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000000000000000100000000000000000000010000010000101000000000000000000010010001101000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010111110111010001101000000000000000001000000000000000000000100000100001010000000000000000000100100011010001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101111101110100011010000000000000000010000000000000000000001000001000010100000000000000000001001000110100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011011011101001110100000000000000000100000000000000000000010000010000101000000000000000000010010001101000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010110110111010011101000000000000000001000000000000000000000100000100001010000000000000000000110100011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000000000000000010000000000000000000001000001000010100000000000000000001101000110100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011111011101001110100000000000000000100000000000000000000010000010000101000000000000000000011010001101000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010110110111010001101000000100000000001000000000000000000000100000100001010000000000000000000110100011010001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101101101110100011010000001000000000010000000000000000000001000001000010100000000000000000001011000110100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011111011101000110100000010000000000100000000000000000000010000010000101000000000000000000010110001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010001101000000100000000001000000000000000000000100000100001010000000000000000000101100011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100111010000001000000000010000000000000000000001000001000010100000000000000000001011000110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101001110100000010000000000100000000000000000000010000010000101000000000000000000011110001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000100000000001000000000000000000000100000100001010000000000000000000111100011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000001000000000010000000000000000000001000001000010100000000000000000001111000110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000000000000000000000000001000000000010000010000101000000000000000000011110001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000000000000000000000000010000000000100000100001010000000000000000000100010011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100011010000000000000000000000000000100000000001000001000010100000000000000000001000100110100011110000000010000000001010000001111111111100110001111110101111111111010010110110001101001011111011101000110100000000000000000000000000001000000000010000010000101000000000000000000010001001101000111100000000100000000010100000011111111101001100011111101011111111110100101101100011010010110110111010011101000000000000000000000000000010000000000100000100001010000000000000000000100010011010001111000000001000000000101000000111111111010011000111111010111111111101001011011000110100101101101110100111010000000000000000000000000000100000000001000001000010100000000000000000001100100110100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011111011101001110100000000000000000000000000001000000000010000010000101000000000000000000011001001101000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111110111010011101000000000000000000000000000010000000000100000100001010000000000000000000110010011010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101101101110100011010000001000000000000000000000100000000001000001000010100000000000000000001100100110100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011011011101000110100000010000000000000000000001000000000010000010000101000000000000000000010101001101000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111110111010001101000000100000000000000000000010000000000100000100001010000000000000000000101010011010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101111101110100011010000001000000000000000000000100000000001000001000010100000000000000000001010100110100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011011011101001110100000010000000000000000000001000000000010000010000101000000000000000000010101001101000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110110111010011101000000100000000000000000000010000000000100000100001010000000000000000000111010011010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101111101110100111010000001000000000000000000000100000000001000001000010100000000000000000001110100110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000010000000000000000000001000000000010000010000101000000000000000000011101001101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000000000000001000000000010000000000100000100001010000000000000000000111010011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000000000000000010000000000100000000001000001000010100000000000000000001001100110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000000000000000100000000001000000000010000010000101000000000000000000010011001101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000000000000001000000000010000000000100000100001010000000000000000000100110011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000000000000000010000000000100000000001000001000010100000000000000000001001100110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000000000000000100000000001000000000010000010000101000000000000000000011011001101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000000000000001000000000010000000000100000100001010000000000000000000110110011010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101111101110100111010000000000000000010000000000100000000001000001000010100000000000000000001101100110100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011011011101000110100000010000000000100000000001000000000010000010000101000000000000000000011011001101000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010110110111010001101000000100000000001000000000010000000000100000100001010000000000000000000101110011010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101111101110100011010000001000000000010000000000100000000001000001000010100000000000000000001011100110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000010000000000100000000001000000000010000010000101000000000000000000010111001101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000100000000001000000000010000000000100000100001010000000000000000000101110011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000001000000000010000000000100000000001000001000010100000000000000000001111100110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000010000000000100000000001000000000010000010000101000000000000000000011111001101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000100000000001000000000010000000000100000100001010000000000000000000111110011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000000000000000000000000000000000000000000011000010100000000000000000001111100110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101000110100000000000000000000000000000000000000000000110000101000000000000000000010000101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000000000000000000000000000000000000000001100001010000000000000000000100001011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000000000000000000000000000000000000000000011000010100000000000000000001000010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000000000000000000000000000000000000000000110000101000000000000000000010000101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000000000000000000000000000000000000000001100001010000000000000000000110001011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000000000000000000000000000000000000000000011000010100000000000000000001100010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000000000000000000000000000000000000000000110000101000000000000000000011000101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000100000000000000000000000000000000000001100001010000000000000000000110001011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000001000000000000000000000000000000000000011000010100000000000000000001010010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000010000000000000000000000000000000000000110000101000000000000000000010100101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000100000000000000000000000000000000000001100001010000000000000000000101001011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000001000000000000000000000000000000000000011000010100000000000000000001010010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000010000000000000000000000000000000000000110000101000000000000000000011100101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000100000000000000000000000000000000000001100001010000000000000000000111001011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000001000000000000000000000000000000000000011000010100000000000000000001110010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101000110100000000000000000100000000000000000000000000110000101000000000000000000011100101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010001101000000000000000001000000000000000000000000001100001010000000000000000000100101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100011010000000000000000010000000000000000000000000011000010100000000000000000001001010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101000110100000000000000000100000000000000000000000000110000101000000000000000000010010101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010011101000000000000000001000000000000000000000000001100001010000000000000000000100101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100111010000000000000000010000000000000000000000000011000010100000000000000000001101010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101001110100000000000000000100000000000000000000000000110000101000000000000000000011010101101000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010011110111010011101000000000000000001000000000000000000000000001100001010000000000000000000110101011010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100101101110100011010000001000000000010000000000000000000000000011000010100000000000000000001101010110100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001011011101000110100000010000000000100000000000000000000000000110000101000000000000000000010110101101000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010011110111010001101000000100000000001000000000000000000000000001100001010000000000000000000101101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100011010000001000000000010000000000000000000000000011000010100000000000000000001011010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101001110100000010000000000100000000000000000000000000110000101000000000000000000010110101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010011101000000100000000001000000000000000000000000001100001010000000000000000000111101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100111010000001000000000010000000000000000000000000011000010100000000000000000001111010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101001110100000010000000000100000000000000000000000000110000101000000000000000000011110101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010001101000000000000000000000000000010000000000000001100001010000000000000000000111101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100011010000000000000000000000000000100000000000000011000010100000000000000000001000110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101000110100000000000000000000000000001000000000000000110000101000000000000000000010001101101000111100000000100000000010100000011111111101011100011111101010101001110100100010100111010010011110111010001101000000000000000000000000000010000000000000001100001010000000000000000000100011011010001111000000001000000000101000000111111111010111000111111010101010011101001000101001110100100101101110100111010000000000000000000000000000100000000000000011000010100000000000000000001000110110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001011011101001110100000000000000000000000000001000000000000000110000101000000000000000000011001101101000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011110111010011101000000000000000000000000000010000000000000001100001010000000000000000000110011011010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100111101110100111010000000000000000000000000000100000000000000011000010100000000000000000001100110110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001011011101000110100000010000000000000000000001000000000000000110000101000000000000000000011001101101000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010110111010001101000000100000000000000000000010000000000000001100001010000000000000000000101011011010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100111101110100011010000001000000000000000000000100000000000000011000010100000000000000000001010110110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001111011101000110100000010000000000000000000001000000000000000110000101000000000000000000010101101101000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010110111010011101000000100000000000000000000010000000000000001100001010000000000000000000101011011010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100101101110100111010000001000000000000000000000100000000000000011000010100000000000000000001110110110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001111011101001110100000010000000000000000000001000000000000000110000101000000000000000000011101101101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010011101000000100000000000000000000010000000000000001100001010000000000000000000111011011010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100011010000000000000000010000000000100000000000000011000010100000000000000000001110110110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101000110100000000000000000100000000001000000000000000110000101000000000000000000010011101101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010001101000000000000000001000000000010000000000000001100001010000000000000000000100111011010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100011010000000000000000010000000000100000000000000011000010100000000000000000001001110110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101001110100000000000000000100000000001000000000000000110000101000000000000000000010011101101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010011101000000000000000001000000000010000000000000001100001010000000000000000000110111011010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100111010000000000000000010000000000100000000000000011000010100000000000000000001101110110100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001111011101001110100000000000000000100000000001000000000000000110000101000000000000000000011011101101000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010010110111010001101000000100000000001000000000010000000000000001100001010000000000000000000110111011010001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100101101110100011010000001000000000010000000000100000000000000011000010100000000000000000001011110110100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001111011101000110100000010000000000100000000001000000000000000110000101000000000000000000010111101101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010001101000000100000000001000000000010000000000000001100001010000000000000000000101111011010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100111010000001000000000010000000000100000000000000011000010100000000000000000001011110110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101001110100000010000000000100000000001000000000000000110000101000000000000000000011111101101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010011101000000100000000001000000000010000000000000001100001010000000000000000000111111011010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100111010000001000000000010000000000100000000000000011000010100000000000000000001111110110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101000110100000000000000000000000000000000000000010000110000101000000000000000000011111101101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010001101000000000000000000000000000000000000000100001100001010000000000000000000100000111010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100011010000000000000000000000000000000000000001000011000010100000000000000000001000001110100011110000000010000000001010000001111111110100110001000001101000010111010010000001011101001001111011101000110100000000000000000000000000000000000000010000110000101000000000000000000010000011101000111100000000100000000010100000011111111101001100010000011010000101110100100000010111010010010110111010011101000000000000000000000000000000000000000100001100001010000000000000000000100000111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000000000000000000000000000000000000001000011000010100000000000000000001100001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000000000000000000000000000000000000010000110000101000000000000000000011000011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000000000000000000000000000000000000100001100001010000000000000000000110000111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100011010000001000000000000000000000000000000001000011000010100000000000000000001100001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101000110100000010000000000000000000000000000000010000110000101000000000000000000010100011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010001101000000100000000000000000000000000000000100001100001010000000000000000000101000111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100011010000001000000000000000000000000000000001000011000010100000000000000000001010001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000010000000000000000000000000000000010000110000101000000000000000000010100011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000100000000000000000000000000000000100001100001010000000000000000000111000111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000001000000000000000000000000000000001000011000010100000000000000000001110001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000010000000000000000000000000000000010000110000101000000000000000000011100011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010001101000000000000000001000000000000000000000100001100001010000000000000000000111000111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100011010000000000000000010000000000000000000001000011000010100000000000000000001001001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101000110100000000000000000100000000000000000000010000110000101000000000000000000010010011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010001101000000000000000001000000000000000000000100001100001010000000000000000000100100111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000000000000000010000000000000000000001000011000010100000000000000000001001001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000000000000000100000000000000000000010000110000101000000000000000000011010011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000000000000001000000000000000000000100001100001010000000000000000000110100111010001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100111101110100111010000000000000000010000000000000000000001000011000010100000000000000000001101001110100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001011011101000110100000010000000000100000000000000000000010000110000101000000000000000000011010011101000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010010110111010001101000000100000000001000000000000000000000100001100001010000000000000000000101100111010001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100111101110100011010000001000000000010000000000000000000001000011000010100000000000000000001011001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101000110100000010000000000100000000000000000000010000110000101000000000000000000010110011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000100000000001000000000000000000000100001100001010000000000000000000101100111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000001000000000010000000000000000000001000011000010100000000000000000001111001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000010000000000100000000000000000000010000110000101000000000000000000011110011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000100000000001000000000000000000000100001100001010000000000000000000111100111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100011010000000000000000000000000000100000000001000011000010100000000000000000001111001110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101000110100000000000000000000000000001000000000010000110000101000000000000000000010001011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010001101000000000000000000000000000010000000000100001100001010000000000000000000100010111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100011010000000000000000000000000000100000000001000011000010100000000000000000001000101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000000000000000000000000001000000000010000110000101000000000000000000010001011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000000000000000000000000010000000000100001100001010000000000000000000110010111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000000000000000000000000000100000000001000011000010100000000000000000001100101110100011110000000010000000001010000001111111110100110001110110101101011111010010000001011101001001111011101001110100000000000000000000000000001000000000010000110000101000000000000000000011001011101000111100000000100000000010100000011111111101001100011101101011010111110100100000010111010010010110111010001101000000100000000000000000000010000000000100001100001010000000000000000000110010111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100011010000001000000000000000000000100000000001000011000010100000000000000000001010101110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000010000000000000000000001000000000010000110000101000000000000000000010101011101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000100000000000000000000010000000000100001100001010000000000000000000101010111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000001000000000000000000000100000000001000011000010100000000000000000001010101110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000010000000000000000000001000000000010000110000101000000000000000000011101011101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000100000000000000000000010000000000100001100001010000000000000000000111010111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000001000000000000000000000100000000001000011000010100000000000000000001110101110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101000110100000000000000000100000000001000000000010000110000101000000000000000000011101011101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010001101000000000000000001000000000010000000000100001100001010000000000000000000100110111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000000000000000010000000000100000000001000011000010100000000000000000001001101110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000000000000000100000000001000000000010000110000101000000000000000000010011011101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000000000000001000000000010000000000100001100001010000000000000000000100110111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000000000000000010000000000100000000001000011000010100000000000000000001101101110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000000000000000100000000001000000000010000110000101000000000000000000011011011101000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010011110111010011101000000000000000001000000000010000000000100001100001010000000000000000000110110111010001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100101101110100011010000001000000000010000000000100000000001000011000010100000000000000000001101101110100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001011011101000110100000010000000000100000000001000000000010000110000101000000000000000000010111011101000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010011110111010001101000000100000000001000000000010000000000100001100001010000000000000000000101110111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000001000000000010000000000100000000001000011000010100000000000000000001011101110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000010000000000100000000001000000000010000110000101000000000000000000010111011101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000100000000001000000000010000000000100001100001010000000000000000000111110111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000001000000000010000000000100000000001000011000010100000000000000000001111101110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000010000000000100000000001000000000010000110000101000000000000000000011111011101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010001101000000000000000000000000000000000000000000000010001010000000000000000000111110111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100011010000000000000000000000000000000000000000000000100010100000000000000000001000011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000000000000000000000000000000000000000000001000101000000000000000000010000111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000000000000000000000000000000000000000000010001010000000000000000000100001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000000000000000000000000000000000000000000000100010100000000000000000001000011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000000000000000000000000000000000000000000001000101000000000000000000011000111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000000000000000000000000000000000000000000010001010000000000000000000110001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000000000000000000000000000000000000000000000100010100000000000000000001100011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101000110100000010000000000000000000000000000000000000001000101000000000000000000011000111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010001101000000100000000000000000000000000000000000000010001010000000000000000000101001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000001000000000000000000000000000000000000000100010100000000000000000001010011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000010000000000000000000000000000000000000001000101000000000000000000010100111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000100000000000000000000000000000000000000010001010000000000000000000101001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000001000000000000000000000000000000000000000100010100000000000000000001110011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000010000000000000000000000000000000000000001000101000000000000000000011100111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010011101000000100000000000000000000000000000000000000010001010000000000000000000111001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100011010000000000000000010000000000000000000000000000100010100000000000000000001110011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101000110100000000000000000100000000000000000000000000001000101000000000000000000010010111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010001101000000000000000001000000000000000000000000000010001010000000000000000000100101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100011010000000000000000010000000000000000000000000000100010100000000000000000001001011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101001110100000000000000000100000000000000000000000000001000101000000000000000000010010111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010011101000000000000000001000000000000000000000000000010001010000000000000000000110101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100111010000000000000000010000000000000000000000000000100010100000000000000000001101011110100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001111011101001110100000000000000000100000000000000000000000000001000101000000000000000000011010111101000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010010110111010001101000000100000000001000000000000000000000000000010001010000000000000000000110101111010001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100101101110100011010000001000000000010000000000000000000000000000100010100000000000000000001011011110100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001111011101000110100000010000000000100000000000000000000000000001000101000000000000000000010110111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010001101000000100000000001000000000000000000000000000010001010000000000000000000101101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100111010000001000000000010000000000000000000000000000100010100000000000000000001011011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101001110100000010000000000100000000000000000000000000001000101000000000000000000011110111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010011101000000100000000001000000000000000000000000000010001010000000000000000000111101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100111010000001000000000010000000000000000000000000000100010100000000000000000001111011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101000110100000000000000000000000000001000000000000000001000101000000000000000000011110111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010001101000000000000000000000000000010000000000000000010001010000000000000000000100011111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100011010000000000000000000000000000100000000000000000100010100000000000000000001000111110100011110000000010000000001010000001111111110101110001110110101000011011010010000001101101001001111011101000110100000000000000000000000000001000000000000000001000101000000000000000000010001111101000111100000000100000000010100000011111111101011100011101101010000110110100100000011011010010010110111010011101000000000000000000000000000010000000000000000010001010000000000000000000100011111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000000000000000000000000000100000000000000000100010100000000000000000001100111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000000000000000000000000001000000000000000001000101000000000000000000011001111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000000000000000000000000010000000000000000010001010000000000000000000110011111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000001000000000000000000000100000000000000000100010100000000000000000001100111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101000110100000010000000000000000000001000000000000000001000101000000000000000000010101111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000100000000000000000000010000000000000000010001010000000000000000000101011111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000001000000000000000000000100000000000000000100010100000000000000000001010111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000010000000000000000000001000000000000000001000101000000000000000000010101111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000100000000000000000000010000000000000000010001010000000000000000000111011111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000001000000000000000000000100000000000000000100010100000000000000000001110111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000010000000000000000000001000000000000000001000101000000000000000000011101111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000000000000001000000000010000000000000000010001010000000000000000000111011111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000000000000000010000000000100000000000000000100010100000000000000000001001111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000000000000000100000000001000000000000000001000101000000000000000000010011111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000000000000001000000000010000000000000000010001010000000000000000000100111111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000000000000000010000000000100000000000000000100010100000000000000000001001111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000000000000000100000000001000000000000000001000101000000000000000000011011111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000000000000001000000000010000000000000000010001010000000000000000000110111111010001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100111101110100111010000000000000000010000000000100000000000000000100010100000000000000000001101111110100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001011011101000110100000010000000000100000000001000000000000000001000101000000000000000000011011111101000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010010110111010001101000000100000000001000000000010000000000000000010001010000000000000000000101111111010001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100111101110100011010000001000000000010000000000100000000000000000100010100000000000000000001011111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000010000000000100000000001000000000000000001000101000000000000000000010111111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000100000000001000000000010000000000000000010001010000000000000000000101111111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000001000000000010000000000100000000000000000100010100000000000000000001111111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000010000000000100000000001000000000000000001000101000000000000000000011111111101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000100000000001000000000010000000000000000010001010000000000000000000111111111010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000000000000000000000000000000000000001000000100010100000000000000000001111111110100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101000110100000000000000000000000000000000000000010000001000101000000000000000000010000000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000000000000000000000000000000000000100000010001010000000000000000000100000000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000000000000000000000000000000000000001000000100010100000000000000000001000000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000000000000000000000000000000000000010000001000101000000000000000000010000000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000000000000000000000000000000000000100000010001010000000000000000000110000000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000000000000000000000000000000000000001000000100010100000000000000000001100000001100011110000000010000000001010000001111111110101110001001110101100000001010010000001101101001001111011101001110100000000000000000000000000000000000000010000001000101000000000000000000011000000011000111100000000100000000010100000011111111101011100010011101011000000010100100000011011010010010110111010001101000000100000000000000000000000000000000100000010001010000000000000000000110000000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000001000000000000000000000000000000001000000100010100000000000000000001010000001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000000000000000000000000010000001000101000000000000000000010100000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000000000000000000000000000100000010001010000000000000000000101000000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000000000000000000000000001000000100010100000000000000000001010000001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000000000000000000000000010000001000101000000000000000000011100000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000100000000000000000000000000000000100000010001010000000000000000000111000000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000001000000000000000000000000000000001000000100010100000000000000000001110000001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000000000000000100000000000000000000010000001000101000000000000000000011100000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000000000000001000000000000000000000100000010001010000000000000000000100100000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000000000000000010000000000000000000001000000100010100000000000000000001001000001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000000000000000100000000000000000000010000001000101000000000000000000010010000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000000000000001000000000000000000000100000010001010000000000000000000100100000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000000000000000010000000000000000000001000000100010100000000000000000001101000001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000000000000000100000000000000000000010000001000101000000000000000000011010000011000111100000000100000000010000000011111111101011100010011101011000110110100100000011011010010011110111010011101000000000000000001000000000000000000000100000010001010000000000000000000110100000110001111000000001000000000100000000111111111010111000100111010110001101101001000000110110100100101101110100011010000001000000000010000000000000000000001000000100010100000000000000000001101000001100011110000000010000000001000000001111111110101110001001110101100011011010010000001101101001001011011101000110100000010000000000100000000000000000000010000001000101000000000000000000010110000011000111100000000100000000010000000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000001000000000000000000000100000010001010000000000000000000101100000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000010000000000000000000001000000100010100000000000000000001011000001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000100000000000000000000010000001000101000000000000000000010110000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000001000000000000000000000100000010001010000000000000000000111100000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000001000000000010000000000000000000001000000100010100000000000000000001111000001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000010000000000100000000000000000000010000001000101000000000000000000011110000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000000000000000000000000010000000000100000010001010000000000000000000111100000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000000000000000000000000000100000000001000000100010100000000000000000001000100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000000000000000000000000001000000000010000001000101000000000000000000010001000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000000000000000000000000010000000000100000010001010000000000000000000100010000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000000000000000000000000000100000000001000000100010100000000000000000001000100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000000000000000000000000001000000000010000001000101000000000000000000011001000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000000000000000000000000010000000000100000010001010000000000000000000110010000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000000000000000000000000000100000000001000000100010100000000000000000001100100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000010000000000000000000001000000000010000001000101000000000000000000011001000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000100000000000000000000010000000000100000010001010000000000000000000101010000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000000000000000100000000001000000100010100000000000000000001010100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000000000000001000000000010000001000101000000000000000000010101000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000000000000000010000000000100000010001010000000000000000000101010000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000000000000000100000000001000000100010100000000000000000001110100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000010000000000000000000001000000000010000001000101000000000000000000011101000011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010011101000000100000000000000000000010000000000100000010001010000000000000000000111010000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100011010000000000000000010000000000100000000001000000100010100000000000000000001110100001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101000110100000000000000000100000000001000000000010000001000101000000000000000000010011000011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010001101000000000000000001000000000010000000000100000010001010000000000000000000100110000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100011010000000000000000010000000000100000000001000000100010100000000000000000001001100001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101001110100000000000000000100000000001000000000010000001000101000000000000000000010011000011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010011101000000000000000001000000000010000000000100000010001010000000000000000000110110000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100111010000000000000000010000000000100000000001000000100010100000000000000000001101100001100011110000000010000000001000000001111111110101110001001110101100011011010010010001101101001001111011101001110100000000000000000100000000001000000000010000001000101000000000000000000011011000011000111100000000100000000010000000011111111101011100010011101011000110110100100100011011010010010110111010001101000000100000000001000000000010000000000100000010001010000000000000000000110110000110001111000000001000000000100000000111111111010111000100111010110001101101001001000110110100100101101110100011010000001000000000010000000000100000000001000000100010100000000000000000001011100001100011110000000010000000001000000001111111110101110001001110101100011011010010010001101101001001111011101000110100000010000000000100000000001000000000010000001000101000000000000000000010111000011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010001101000000100000000001000000000010000000000100000010001010000000000000000000101110000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100111010000001000000000010000000000100000000001000000100010100000000000000000001011100001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101001110100000010000000000100000000001000000000010000001000101000000000000000000011111000011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010011101000000100000000001000000000010000000000100000010001010000000000000000000111110000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100111010000001000000000010000000000100000000001000000100010100000000000000000001111100001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101000110100000000000000000000000000000000000000000000101000101000000000000000000011111000011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010001101000000000000000000000000000000000000000000001010001010000000000000000000100001000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100011010000000000000000000000000000000000000000000010100010100000000000000000001000010001100011110000000010000000001010000001111111110100110001101110101100011011010010010001101101001001111011101000110100000000000000000000000000000000000000000000101000101000000000000000000010000100011000111100000000100000000010100000011111111101001100011011101011000110110100100100011011010010010110111010011101000000000000000000000000000000000000000000001010001010000000000000000000100001000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000000000000000000000000000000000000000000010100010100000000000000000001100010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000000000000000000000000000000000000000000101000101000000000000000000011000100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000000000000000000000000000000000000000001010001010000000000000000000110001000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000001000000000000000000000000000000000000010100010100000000000000000001100010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101000110100000010000000000000000000000000000000000000101000101000000000000000000010100100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010001101000000100000000000000000000000000000000000001010001010000000000000000000101001000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000001000000000000000000000000000000000000010100010100000000000000000001010010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000010000000000000000000000000000000000000101000101000000000000000000010100100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000100000000000000000000000000000000000001010001010000000000000000000111001000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000001000000000000000000000000000000000000010100010100000000000000000001110010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000010000000000000000000000000000000000000101000101000000000000000000011100100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000000000000001000000000000000000000000001010001010000000000000000000111001000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000000000000000010000000000000000000000000010100010100000000000000000001001010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000000000000000100000000000000000000000000101000101000000000000000000010010100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010001101000000000000000001000000000000000000000000001010001010000000000000000000100101000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000000000000000010000000000000000000000000010100010100000000000000000001001010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000000000000000100000000000000000000000000101000101000000000000000000011010100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000000000000001000000000000000000000000001010001010000000000000000000110101000110001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100111101110100111010000000000000000010000000000000000000000000010100010100000000000000000001101010001100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001011011101000110100000010000000000100000000000000000000000000101000101000000000000000000011010100011000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010010110111010001101000000100000000001000000000000000000000000001010001010000000000000000000101101000110001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100111101110100011010000001000000000010000000000000000000000000010100010100000000000000000001011010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000010000000000100000000000000000000000000101000101000000000000000000010110100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000100000000001000000000000000000000000001010001010000000000000000000101101000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000001000000000010000000000000000000000000010100010100000000000000000001111010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000010000000000100000000000000000000000000101000101000000000000000000011110100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000100000000001000000000000000000000000001010001010000000000000000000111101000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000000000000000000000000000100000000000000010100010100000000000000000001111010001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101000110100000000000000000000000000001000000000000000101000101000000000000000000010001100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010001101000000000000000000000000000010000000000000001010001010000000000000000000100011000110001111000000001000000000101000000111111111010000000000000000000000000101001001000110110100100111101110100011010000000000000000000000000000100000000000000010100010100000000000000000001000110001100011110000000010000000001010000001111111110100000000000000000000000001010010010001101101001001011011101001110100000000000000000000000000001000000000000000101000101000000000000000000010001100011000111100000000100000000010100000011111111101000000000000000000001111010100100100011011010010010110111010011101000000000000000000000000000010000000000000001010001010000000000000000000110011000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000000000000000000000000000100000000000000010100010100000000000000000001100110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000000000000000000000000001000000000000000101000101000000000000000000011001100011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000100000000000000000000010000000000000001010001010000000000000000000110011000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100011010000001000000000000000000000100000000000000010100010100000000000000000001010110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101000110100000010000000000000000000001000000000000000101000101000000000000000000010101100011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000100000000000000000000010000000000000001010001010000000000000000000101011000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000001000000000000000000000100000000000000010100010100000000000000000001010110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000010000000000000000000001000000000000000101000101000000000000000000011101100011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000100000000000000000000010000000000000001010001010000000000000000000111011000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000001000000000000000000000100000000000000010100010100000000000000000001110110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000000000000000100000000001000000000000000101000101000000000000000000011101100011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000000000000001000000000010000000000000001010001010000000000000000000100111000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000000000000000010000000000100000000000000010100010100000000000000000001001110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101000110100000000000000000100000000001000000000000000101000101000000000000000000010011100011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000000000000001000000000010000000000000001010001010000000000000000000100111000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000000000000000010000000000100000000000000010100010100000000000000000001101110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000000000000000100000000001000000000000000101000101000000000000000000011011100011000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010011110111010011101000000000000000001000000000010000000000000001010001010000000000000000000110111000110001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100101101110100011010000001000000000010000000000100000000000000010100010100000000000000000001101110001100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001011011101000110100000010000000000100000000001000000000000000101000101000000000000000000010111100011000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010011110111010001101000000100000000001000000000010000000000000001010001010000000000000000000101111000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000001000000000010000000000100000000000000010100010100000000000000000001011110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000010000000000100000000001000000000000000101000101000000000000000000010111100011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000100000000001000000000010000000000000001010001010000000000000000000111111000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000001000000000010000000000100000000000000010100010100000000000000000001111110001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000010000000000100000000001000000000000000101000101000000000000000000011111100011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000000000000000000000000000000000000100001010001010000000000000000000111111000110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100011010000000000000000000000000000000000000001000010100010100000000000000000001000001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101000110100000000000000000000000000000000000000010000101000101000000000000000000010000010011000111100000000100000000010100000011111111101010000000000000000010101010100100100011011010010011110111010001101000000000000000000000000000000000000000100001010001010000000000000000000100000100110001111000000001000000000101000000111111111010100000000000000000101010101001001000110110100100101101110100111010000000000000000000000000000000000000001000010100010100000000000000000001000001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000000000000000000000000000000000000010000101000101000000000000000000011000010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000000000000000000000000000000000000100001010001010000000000000000000110000100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000000000000000000000000000000000000001000010100010100000000000000000001100001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000010000000000000000000000000000000010000101000101000000000000000000011000010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010001101000000100000000000000000000000000000000100001010001010000000000000000000101000100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100011010000001000000000000000000000000000000001000010100010100000000000000000001010001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000010000000000000000000000000000000010000101000101000000000000000000010100010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000100000000000000000000000000000000100001010001010000000000000000000101000100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000001000000000000000000000000000000001000010100010100000000000000000001110001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000010000000000000000000000000000000010000101000101000000000000000000011100010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000100000000000000000000000000000000100001010001010000000000000000000111000100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000000000000000010000000000000000000001000010100010100000000000000000001110001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000000000000000100000000000000000000010000101000101000000000000000000010010010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000000000000001000000000000000000000100001010001010000000000000000000100100100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100011010000000000000000010000000000000000000001000010100010100000000000000000001001001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000000000000000100000000000000000000010000101000101000000000000000000010010010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000000000000001000000000000000000000100001010001010000000000000000000110100100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000000000000000010000000000000000000001000010100010100000000000000000001101001001100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001111011101001110100000000000000000100000000000000000000010000101000101000000000000000000011010010011000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010010110111010001101000000100000000001000000000000000000000100001010001010000000000000000000110100100110001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100101101110100011010000001000000000010000000000000000000001000010100010100000000000000000001011001001100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001111011101000110100000010000000000100000000000000000000010000101000101000000000000000000010110010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000100000000001000000000000000000000100001010001010000000000000000000101100100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000001000000000010000000000000000000001000010100010100000000000000000001011001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000010000000000100000000000000000000010000101000101000000000000000000011110010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000100000000001000000000000000000000100001010001010000000000000000000111100100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000001000000000010000000000000000000001000010100010100000000000000000001111001001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000000000000000000000000001000000000010000101000101000000000000000000011110010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010001101000000000000000000000000000010000000000100001010001010000000000000000000100010100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100011010000000000000000000000000000100000000001000010100010100000000000000000001000101001100011110000000010000000001010000001111111110101000000110101011110000001010010010001101101001001111011101000110100000000000000000000000000001000000000010000101000101000000000000000000010001010011000111100000000100000000010100000011111111101010000001101010111100000010100100100011011010010010110111010011101000000000000000000000000000010000000000100001010001010000000000000000000100010100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100101101110100111010000000000000000000000000000100000000001000010100010100000000000000000001100101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101001110100000000000000000000000000001000000000010000101000101000000000000000000011001010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010011101000000000000000000000000000010000000000100001010001010000000000000000000110010100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100101101110100011010000001000000000000000000000100000000001000010100010100000000000000000001100101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001011011101000110100000010000000000000000000001000000000010000101000101000000000000000000010101010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010001101000000100000000000000000000010000000000100001010001010000000000000000000101010100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100011010000001000000000000000000000100000000001000010100010100000000000000000001010101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001011011101001110100000010000000000000000000001000000000010000101000101000000000000000000010101010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010110111010011101000000100000000000000000000010000000000100001010001010000000000000000000111010100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100111010000001000000000000000000000100000000001000010100010100000000000000000001110101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101001110100000010000000000000000000001000000000010000101000101000000000000000000011101010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010001101000000000000000001000000000010000000000100001010001010000000000000000000111010100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100011010000000000000000010000000000100000000001000010100010100000000000000000001001101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101000110100000000000000000100000000001000000000010000101000101000000000000000000010011010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111110111010001101000000000000000001000000000010000000000100001010001010000000000000000000100110100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100111010000000000000000010000000000100000000001000010100010100000000000000000001001101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101001110100000000000000000100000000001000000000010000101000101000000000000000000011011010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111110111010011101000000000000000001000000000010000000000100001010001010000000000000000000110110100110001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101111101110100111010000000000000000010000000000100000000001000010100010100000000000000000001101101001100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011011011101000110100000010000000000100000000001000000000010000101000101000000000000000000011011010011000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010110110111010001101000000100000000001000000000010000000000100001010001010000000000000000000101110100110001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101111101110100011010000001000000000010000000000100000000001000010100010100000000000000000001011101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101000110100000010000000000100000000001000000000010000101000101000000000000000000010111010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010011101000000100000000001000000000010000000000100001010001010000000000000000000101110100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100111010000001000000000010000000000100000000001000010100010100000000000000000001111101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101001110100000010000000000100000000001000000000010000101000101000000000000000000011111010011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111110111010011101000000100000000001000000000010000000000100001010001010000000000000000000111110100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100011010000000000000000000000000000000000000000000001100010100000000000000000001111101001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101000110100000000000000000000000000000000000000000000011000101000000000000000000010000110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111110111010001101000000000000000000000000000000000000000000000110001010000000000000000000100001100110001111000000001000000000101000000111111111010111000110111010111111111101001011000110110100101111101110100011010000000000000000000000000000000000000000000001100010100000000000000000001000011001100011110000000010000000001010000001111111110101110001101110101111111111010010110001101101001011011011101001110100000000000000000000000000000000000000000000011000101000000000000000000010000110011000111100000000100000000010100000011111111101011100011011101010000000010100101100011011010010110110111010011101000000000000000000000000000000000000000000000110001010000000000000000000110001100110001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101111101110100111010000000000000000000000000000000000000000000001100010100000000000000000001100011001100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011111011101001110100000000000000000000000000000000000000000000011000101000000000000000000011000110011000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110110111010001101000000100000000000000000000000000000000000000110001010000000000000000000110001100110001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101101101110100011010000001000000000000000000000000000000000000001100010100000000000000000001010011001100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011111011101000110100000010000000000000000000000000000000000000011000101000000000000000000010100110011000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111110111010001101000000100000000000000000000000000000000000000110001010000000000000000000101001100110001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101101101110100111010000001000000000000000000000000000000000000001100010100000000000000000001010011001100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011011011101001110100000010000000000000000000000000000000000000011000101000000000000000000011100110011000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111110111010011101000000100000000000000000000000000000000000000110001010000000000000000000111001100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100111010000001000000000000000000000000000000000000001100010100000000000000000001110011001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101000110100000000000000000100000000000000000000000000011000101000000000000000000011100110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010001101000000000000000001000000000000000000000000000110001010000000000000000000100101100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100011010000000000000000010000000000000000000000000001100010100000000000000000001001011001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101000110100000000000000000100000000000000000000000000011000101000000000000000000010010110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010011101000000000000000001000000000000000000000000000110001010000000000000000000100101100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100111010000000000000000010000000000000000000000000001100010100000000000000000001101011001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101001110100000000000000000100000000000000000000000000011000101000000000000000000011010110011000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010111110111010011101000000000000000001000000000000000000000000000110001010000000000000000000110101100110001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101101101110100011010000001000000000010000000000000000000000000001100010100000000000000000001101011001100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011011011101000110100000010000000000100000000000000000000000000011000101000000000000000000010110110011000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010111110111010001101000000100000000001000000000000000000000000000110001010000000000000000000101101100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100011010000001000000000010000000000000000000000000001100010100000000000000000001011011001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101001110100000010000000000100000000000000000000000000011000101000000000000000000010110110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010011101000000100000000001000000000000000000000000000110001010000000000000000000111101100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100111010000001000000000010000000000000000000000000001100010100000000000000000001111011001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101001110100000010000000000100000000000000000000000000011000101000000000000000000011110110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010001101000000000000000000000000000010000000000000000110001010000000000000000000111101100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100011010000000000000000000000000000100000000000000001100010100000000000000000001000111001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101000110100000000000000000000000000001000000000000000011000101000000000000000000010001110011000111100000000100000000010100000011111111101001100010111101011011000110100101101100011010010111110111010001101000000000000000000000000000010000000000000000110001010000000000000000000100011100110001111000000001000000000101000000111111111010011000101111010110110001101001011011000110100101101101110100111010000000000000000000000000000100000000000000001100010100000000000000000001000111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000000000000000000000000001000000000000000011000101000000000000000000011001110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000000000000000000000000010000000000000000110001010000000000000000000110011100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000000000000000000000000000100000000000000001100010100000000000000000001100111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000010000000000000000000001000000000000000011000101000000000000000000011001110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010001101000000100000000000000000000010000000000000000110001010000000000000000000101011100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100011010000001000000000000000000000100000000000000001100010100000000000000000001010111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000010000000000000000000001000000000000000011000101000000000000000000010101110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000100000000000000000000010000000000000000110001010000000000000000000101011100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000001000000000000000000000100000000000000001100010100000000000000000001110111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000010000000000000000000001000000000000000011000101000000000000000000011101110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000100000000000000000000010000000000000000110001010000000000000000000111011100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000000000000000010000000000100000000000000001100010100000000000000000001110111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000000000000000100000000001000000000000000011000101000000000000000000010011110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000000000000001000000000010000000000000000110001010000000000000000000100111100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100011010000000000000000010000000000100000000000000001100010100000000000000000001001111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000000000000000100000000001000000000000000011000101000000000000000000010011110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000000000000001000000000010000000000000000110001010000000000000000000110111100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000000000000000010000000000100000000000000001100010100000000000000000001101111001100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011111011101001110100000000000000000100000000001000000000000000011000101000000000000000000011011110011000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010110110111010001101000000100000000001000000000010000000000000000110001010000000000000000000110111100110001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101101101110100011010000001000000000010000000000100000000000000001100010100000000000000000001011111001100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011111011101000110100000010000000000100000000001000000000000000011000101000000000000000000010111110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000100000000001000000000010000000000000000110001010000000000000000000101111100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000001000000000010000000000100000000000000001100010100000000000000000001011111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000010000000000100000000001000000000000000011000101000000000000000000011111110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000100000000001000000000010000000000000000110001010000000000000000000111111100110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000001000000000010000000000100000000000000001100010100000000000000000001111111001100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000000000000000000000000000000000000010000011000101000000000000000000011111110011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010001101000000000000000000000000000000000000000100000110001010000000000000000000100000010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100011010000000000000000000000000000000000000001000001100010100000000000000000001000000101100011110000000010000000001010000001111111110101110001011110101111000001010010110110001101001011111011101000110100000000000000000000000000000000000000010000011000101000000000000000000010000001011000111100000000100000000010100000011111111101011100010111101011110000010100101101100011010010110110111010011101000000000000000000000000000000000000000100000110001010000000000000000000100000010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000000000000000000000000000000000000001000001100010100000000000000000001100000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000000000000000000000000000000000000010000011000101000000000000000000011000001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000000000000000000000000000000000000100000110001010000000000000000000110000010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000001000000000000000000000000000000001000001100010100000000000000000001100000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101000110100000010000000000000000000000000000000010000011000101000000000000000000010100001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010001101000000100000000000000000000000000000000100000110001010000000000000000000101000010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000001000000000000000000000000000000001000001100010100000000000000000001010000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000010000000000000000000000000000000010000011000101000000000000000000010100001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000100000000000000000000000000000000100000110001010000000000000000000111000010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000001000000000000000000000000000000001000001100010100000000000000000001110000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000010000000000000000000000000000000010000011000101000000000000000000011100001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000000000000001000000000000000000000100000110001010000000000000000000111000010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000000000000000010000000000000000000001000001100010100000000000000000001001000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000000000000000100000000000000000000010000011000101000000000000000000010010001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010001101000000000000000001000000000000000000000100000110001010000000000000000000100100010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000000000000000010000000000000000000001000001100010100000000000000000001001000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000000000000000100000000000000000000010000011000101000000000000000000011010001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000000000000001000000000000000000000100000110001010000000000000000000110100010110001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101111101110100111010000000000000000010000000000000000000001000001100010100000000000000000001101000101100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011011011101000110100000010000000000100000000000000000000010000011000101000000000000000000011010001011000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010110110111010001101000000100000000001000000000000000000000100000110001010000000000000000000101100010110001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101111101110100011010000001000000000010000000000000000000001000001100010100000000000000000001011000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000010000000000100000000000000000000010000011000101000000000000000000010110001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000100000000001000000000000000000000100000110001010000000000000000000101100010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000001000000000010000000000000000000001000001100010100000000000000000001111000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000010000000000100000000000000000000010000011000101000000000000000000011110001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000100000000001000000000000000000000100000110001010000000000000000000111100010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000000000000000000000000000100000000001000001100010100000000000000000001111000101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101000110100000000000000000000000000001000000000010000011000101000000000000000000010001001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010001101000000000000000000000000000010000000000100000110001010000000000000000000100010010110001111000000001000000000101000000111111111010100010011000000000000100111111111011000110100101111101110100011010000000000000000000000000000100000000001000001100010100000000000000000001000100101100011110000000010000000001010000001111111111101000100110000000000001001111111110110001101001011011011101001110100000000000000000000000000001000000000010000011000101000000000000000000010001001011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010011101000000000000000000000000000010000000000100000110001010000000000000000000110010010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000000000000000000000000000100000000001000001100010100000000000000000001100100101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000000000000000000000000001000000000010000011000101000000000000000000011001001011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000100000000000000000000010000000000100000110001010000000000000000000110010010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100011010000001000000000000000000000100000000001000001100010100000000000000000001010100101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101000110100000010000000000000000000001000000000010000011000101000000000000000000010101001011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010001101000000100000000000000000000010000000000100000110001010000000000000000000001010010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100111010000001000000000000000000000100000000001000001100010100000000000000000000010100101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101001110100000010000000000000000000001000000000010000011000101000000000000000000001101001011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000100000000000000000000010000000000100000110001010000000000000000000011010010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000001000000000000000000000100000000001000001100010100000000000000000000110100101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000000000000000100000000001000000000010000011000101000000000000000000001101001011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000000000000001000000000010000000000100000110001010000000000000000000000110010110001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101111101110100011010000000000000000010000000000100000000001000001100010100000000000000000000001100101100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011111011101000110100000000000000000100000000001000000000010000011000101000000000000000000000011001011000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010110110111010011101000000000000000001000000000010000000000100000110001010000000000000000000000110010110001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101101101110100111010000000000000000010000000000100000000001000001100010100000000000000000000101100101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000000000000000100000000001000000000010000011000101000000000000000000001011001011000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010111110111010011101000000000000000001000000000010000000000100000110001010000000000000000000010110010110001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101101101110100011010000001000000000010000000000100000000001000001100010100000000000000000000101100101100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011011011101000110100000010000000000100000000001000000000010000011000101000000000000000000000111001011000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010111110111010001101000000100000000001000000000010000000000100000110001010000000000000000000001110010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100011010000001000000000010000000000100000000001000001100010100000000000000000000011100101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101001110100000010000000000100000000001000000000010000011000101000000000000000000000111001011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010011101000000100000000001000000000010000000000100000110001010000000000000000000011110010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000001000000000010000000000100000000001000001100010100000000000000000000111100101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000010000000000100000000001000000000010000011000101000000000000000000001111001011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000000000000000000000000000000000000000001110001010000000000000000000011110010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100011010000000000000000000000000000000000000000000011100010100000000000000000000000010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101000110100000000000000000000000000000000000000000000111000101000000000000000000000000101011000111100000000100000000010100000011111111111001100011111101011111111110100101101100011010010111110111010001101000000000000000000000000000000000000000000001110001010000000000000000000000001010110001111000000001000000000101000000111111111010011000111111010111111111101001011011000110100101101101110100111010000000000000000000000000000000000000000000011100010100000000000000000000000010101100011110000000010000000001010000001111111110100110001111110101111111111010010110110001101001011011011101001110100000000000000000000000000000000000000000000111000101000000000000000000001000101011000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111110111010011101000000000000000000000000000000000000000000001110001010000000000000000000010001010110001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101111101110100111010000000000000000000000000000000000000000000011100010100000000000000000000100010101100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011011011101000110100000010000000000000000000000000000000000000111000101000000000000000000001000101011000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110110111010001101000000100000000000000000000000000000000000001110001010000000000000000000001001010110001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101111101110100011010000001000000000000000000000000000000000000011100010100000000000000000000010010101100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011111011101000110100000010000000000000000000000000000000000000111000101000000000000000000000100101011000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110110111010011101000000100000000000000000000000000000000000001110001010000000000000000000001001010110001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101101101110100111010000001000000000000000000000000000000000000011100010100000000000000000000110010101100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011111011101001110100000010000000000000000000000000000000000000111000101000000000000000000001100101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000100000000000000000000000000000000000001110001010000000000000000000011001010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000000000000000010000000000000000000000000011100010100000000000000000000110010101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101000110100000000000000000100000000000000000000000000111000101000000000000000000000010101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000000000000001000000000000000000000000001110001010000000000000000000000101010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000000000000000010000000000000000000000000011100010100000000000000000000001010101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000000000000000100000000000000000000000000111000101000000000000000000000010101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000000000000001000000000000000000000000001110001010000000000000000000010101010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000000000000000010000000000000000000000000011100010100000000000000000000101010101100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011111011101001110100000000000000000100000000000000000000000000111000101000000000000000000001010101011000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010110110111010001101000000100000000001000000000000000000000000001110001010000000000000000000010101010110001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101101101110100011010000001000000000010000000000000000000000000011100010100000000000000000000011010101100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011111011101000110100000010000000000100000000000000000000000000111000101000000000000000000000110101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000100000000001000000000000000000000000001110001010000000000000000000001101010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000001000000000010000000000000000000000000011100010100000000000000000000011010101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000010000000000100000000000000000000000000111000101000000000000000000001110101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000100000000001000000000000000000000000001110001010000000000000000000011101010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000001000000000010000000000000000000000000011100010100000000000000000000111010101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101000110100000000000000000000000000001000000000000000111000101000000000000000000001110101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000000000000000000000000010000000000000001110001010000000000000000000000011010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000000000000000000000000000100000000000000011100010100000000000000000000000110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000000000000000000000000001000000000000000111000101000000000000000000000001101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000000000000000000000000010000000000000001110001010000000000000000000000011010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000000000000000000000000000100000000000000011100010100000000000000000000100110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000000000000000000000000001000000000000000111000101000000000000000000001001101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000000000000000000000000010000000000000001110001010000000000000000000010011010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000001000000000000000000000100000000000000011100010100000000000000000000100110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101000110100000010000000000000000000001000000000000000111000101000000000000000000000101101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000100000000000000000000010000000000000001110001010000000000000000000001011010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000001000000000000000000000100000000000000011100010100000000000000000000010110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000010000000000000000000001000000000000000111000101000000000000000000000101101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000100000000000000000000010000000000000001110001010000000000000000000011011010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000001000000000000000000000100000000000000011100010100000000000000000000110110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000010000000000000000000001000000000000000111000101000000000000000000001101101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010001101000000000000000001000000000010000000000000001110001010000000000000000000011011010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100011010000000000000000010000000000100000000000000011100010100000000000000000000001110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101000110100000000000000000100000000001000000000000000111000101000000000000000000000011101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011110111010001101000000000000000001000000000010000000000000001110001010000000000000000000000111010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100111010000000000000000010000000000100000000000000011100010100000000000000000000001110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101001110100000000000000000100000000001000000000000000111000101000000000000000000001011101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011110111010011101000000000000000001000000000010000000000000001110001010000000000000000000010111010110001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100111101110100111010000000000000000010000000000100000000000000011100010100000000000000000000101110101100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001011011101000110100000010000000000100000000001000000000000000111000101000000000000000000001011101011000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010010110111010001101000000100000000001000000000010000000000000001110001010000000000000000000001111010110001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100111101110100011010000001000000000010000000000100000000000000011100010100000000000000000000011110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101000110100000010000000000100000000001000000000000000111000101000000000000000000000111101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010011101000000100000000001000000000010000000000000001110001010000000000000000000001111010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100111010000001000000000010000000000100000000000000011100010100000000000000000000111110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101001110100000010000000000100000000001000000000000000111000101000000000000000000001111101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011110111010011101000000100000000001000000000010000000000000001110001010000000000000000000011111010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100011010000000000000000000000000000000000000001000011100010100000000000000000000111110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101000110100000000000000000000000000000000000000010000111000101000000000000000000000000011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011110111010001101000000000000000000000000000000000000000100001110001010000000000000000000000000110110001111000000001000000000101000000111111111010111000111111010101010011101001000101001110100100111101110100011010000000000000000000000000000000000000001000011100010100000000000000000000000001101100011110000000010000000001010000001111111110101110001111110101010100111010010001010011101001001011011101001110100000000000000000000000000000000000000010000111000101000000000000000000000000011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010110111010011101000000000000000000000000000000000000000100001110001010000000000000000000010000110110001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100111101110100111010000000000000000000000000000000000000001000011100010100000000000000000000100001101100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001111011101001110100000000000000000000000000000000000000010000111000101000000000000000000001000011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010110111010001101000000100000000000000000000000000000000100001110001010000000000000000000010000110110001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100101101110100011010000001000000000000000000000000000000001000011100010100000000000000000000010001101100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001111011101000110100000010000000000000000000000000000000010000111000101000000000000000000000100011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011110111010001101000000100000000000000000000000000000000100001110001010000000000000000000001000110110001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100101101110100111010000001000000000000000000000000000000001000011100010100000000000000000000010001101100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001011011101001110100000010000000000000000000000000000000010000111000101000000000000000000001100011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011110111010011101000000100000000000000000000000000000000100001110001010000000000000000000011000110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100111010000001000000000000000000000000000000001000011100010100000000000000000000110001101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101000110100000000000000000100000000000000000000010000111000101000000000000000000001100011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010001101000000000000000001000000000000000000000100001110001010000000000000000000000100110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100011010000000000000000010000000000000000000001000011100010100000000000000000000001001101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101000110100000000000000000100000000000000000000010000111000101000000000000000000000010011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010011101000000000000000001000000000000000000000100001110001010000000000000000000000100110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100111010000000000000000010000000000000000000001000011100010100000000000000000000101001101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101001110100000000000000000100000000000000000000010000111000101000000000000000000001010011011000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010011110111010011101000000000000000001000000000000000000000100001110001010000000000000000000010100110110001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100101101110100011010000001000000000010000000000000000000001000011100010100000000000000000000101001101100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001011011101000110100000010000000000100000000000000000000010000111000101000000000000000000000110011011000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010011110111010001101000000100000000001000000000000000000000100001110001010000000000000000000001100110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100011010000001000000000010000000000000000000001000011100010100000000000000000000011001101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101001110100000010000000000100000000000000000000010000111000101000000000000000000000110011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010011101000000100000000001000000000000000000000100001110001010000000000000000000011100110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100111101110100111010000001000000000010000000000000000000001000011100010100000000000000000000111001101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101001110100000010000000000100000000000000000000010000111000101000000000000000000001110011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010001101000000000000000000000000000010000000000100001110001010000000000000000000011100110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100011010000000000000000000000000000100000000001000011100010100000000000000000000000101101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101000110100000000000000000000000000001000000000010000111000101000000000000000000000001011011000111100000000100000000010100000011111111101001100010000011010000101110100100000010111010010011110111010001101000000000000000000000000000010000000000100001110001010000000000000000000000010110110001111000000001000000000101000000111111111010011000100000110100001011101001000000101110100100101101110100111010000000000000000000000000000100000000001000011100010100000000000000000000000101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000000000000000000000000001000000000010000111000101000000000000000000001001011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000000000000000000000000010000000000100001110001010000000000000000000010010110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000000000000000000000000000100000000001000011100010100000000000000000000100101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101000110100000010000000000000000000001000000000010000111000101000000000000000000001001011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010001101000000100000000000000000000010000000000100001110001010000000000000000000001010110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100011010000001000000000000000000000100000000001000011100010100000000000000000000010101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101000110100000010000000000000000000001000000000010000111000101000000000000000000000101011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000100000000000000000000010000000000100001110001010000000000000000000001010110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000001000000000000000000000100000000001000011100010100000000000000000000110101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000010000000000000000000001000000000010000111000101000000000000000000001101011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000100000000000000000000010000000000100001110001010000000000000000000011010110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100011010000000000000000010000000000100000000001000011100010100000000000000000000110101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101000110100000000000000000100000000001000000000010000111000101000000000000000000000011011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010001101000000000000000001000000000010000000000100001110001010000000000000000000000110110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100011010000000000000000010000000000100000000001000011100010100000000000000000000001101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000000000000000100000000001000000000010000111000101000000000000000000000011011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000000000000001000000000010000000000100001110001010000000000000000000010110110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000000000000000010000000000100000000001000011100010100000000000000000000101101101100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001111011101001110100000000000000000100000000001000000000010000111000101000000000000000000001011011011000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010010110111010001101000000100000000001000000000010000000000100001110001010000000000000000000010110110110001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100101101110100011010000001000000000010000000000100000000001000011100010100000000000000000000011101101100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001111011101000110100000010000000000100000000001000000000010000111000101000000000000000000000111011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010001101000000100000000001000000000010000000000100001110001010000000000000000000001110110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000001000000000010000000000100000000001000011100010100000000000000000000011101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000010000000000100000000001000000000010000111000101000000000000000000001111011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000100000000001000000000010000000000100001110001010000000000000000000011110110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000001000000000010000000000100000000001000011100010100000000000000000000111101101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101000110100000000000000000000000000000000000000000000000100101000000000000000000001111011011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010001101000000000000000000000000000000000000000000000001001010000000000000000000000001110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100011010000000000000000000000000000000000000000000000010010100000000000000000000000011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101000110100000000000000000000000000000000000000000000000100101000000000000000000000000111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000000000000000000000000000000000000000000001001010000000000000000000000001110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000000000000000000000000000000000000000000000010010100000000000000000000100011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000000000000000000000000000000000000000000000100101000000000000000000001000111011000111100000000100000000010100000011111111101001100011101101011010111110100100000010111010010011110111010011101000000000000000000000000000000000000000000000001001010000000000000000000010001110110001111000000001000000000101000000111111111010011000111011010110101111101001000000101110100100101101110100011010000001000000000000000000000000000000000000000010010100000000000000000000100011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101000110100000010000000000000000000000000000000000000000100101000000000000000000000100111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000100000000000000000000000000000000000000001001010000000000000000000001001110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000001000000000000000000000000000000000000000010010100000000000000000000010011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000010000000000000000000000000000000000000000100101000000000000000000000100111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000100000000000000000000000000000000000000001001010000000000000000000011001110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000001000000000000000000000000000000000000000010010100000000000000000000110011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000010000000000000000000000000000000000000000100101000000000000000000001100111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010001101000000000000000001000000000000000000000000000001001010000000000000000000011001110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100011010000000000000000010000000000000000000000000000010010100000000000000000000001011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000000000000000100000000000000000000000000000100101000000000000000000000010111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000000000000001000000000000000000000000000001001010000000000000000000000101110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000000000000000010000000000000000000000000000010010100000000000000000000001011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000000000000000100000000000000000000000000000100101000000000000000000001010111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000000000000001000000000000000000000000000001001010000000000000000000010101110110001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100111101110100111010000000000000000010000000000000000000000000000010010100000000000000000000101011101100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001011011101000110100000010000000000100000000000000000000000000000100101000000000000000000001010111011000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010010110111010001101000000100000000001000000000000000000000000000001001010000000000000000000001101110110001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100111101110100011010000001000000000010000000000000000000000000000010010100000000000000000000011011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000010000000000100000000000000000000000000000100101000000000000000000000110111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000100000000001000000000000000000000000000001001010000000000000000000001101110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000001000000000010000000000000000000000000000010010100000000000000000000111011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000010000000000100000000000000000000000000000100101000000000000000000001110111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000100000000001000000000000000000000000000001001010000000000000000000011101110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100011010000000000000000000000000000100000000000000000010010100000000000000000000111011101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101000110100000000000000000000000000001000000000000000000100101000000000000000000000001111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000000000000000000000000010000000000000000001001010000000000000000000000011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000000000000000000000000000100000000000000000010010100000000000000000000000111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000000000000000000000000001000000000000000000100101000000000000000000000001111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000000000000000000000000010000000000000000001001010000000000000000000010011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000000000000000000000000000100000000000000000010010100000000000000000000100111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000000000000000000000000001000000000000000000100101000000000000000000001001111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010001101000000100000000000000000000010000000000000000001001010000000000000000000010011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100011010000001000000000000000000000100000000000000000010010100000000000000000000010111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000010000000000000000000001000000000000000000100101000000000000000000000101111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000100000000000000000000010000000000000000001001010000000000000000000101011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000001000000000000000000000100000000000000000010010100000000000000000001010111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000010000000000000000000001000000000000000000100101000000000000000000011101111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000100000000000000000000010000000000000000001001010000000000000000000111011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100111010000001000000000000000000000100000000000000000010010100000000000000000001110111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101000110100000000000000000100000000001000000000000000000100101000000000000000000011101111011000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010001101000000000000000001000000000010000000000000000001001010000000000000000000100111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100011010000000000000000010000000000100000000000000000010010100000000000000000001001111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101000110100000000000000000100000000001000000000000000000100101000000000000000000010011111011000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010011101000000000000000001000000000010000000000000000001001010000000000000000000100111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100111010000000000000000010000000000100000000000000000010010100000000000000000001101111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101001110100000000000000000100000000001000000000000000000100101000000000000000000011011111011000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010011110111010011101000000000000000001000000000010000000000000000001001010000000000000000000110111110110001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100101101110100011010000001000000000010000000000100000000000000000010010100000000000000000001101111101100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001011011101000110100000010000000000100000000001000000000000000000100101000000000000000000010111111011000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010011110111010001101000000100000000001000000000010000000000000000001001010000000000000000000101111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100011010000001000000000010000000000100000000000000000010010100000000000000000001011111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101001110100000010000000000100000000001000000000000000000100101000000000000000000010111111011000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010011101000000100000000001000000000010000000000000000001001010000000000000000000111111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100111101110100111010000001000000000010000000000100000000000000000010010100000000000000000001111111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101001110100000010000000000100000000001000000000000000000100101000000000000000000011111111011000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010001101000000000000000000000000000000000000000100000001001010000000000000000000111111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100011010000000000000000000000000000000000000001000000010010100000000000000000001000000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101000110100000000000000000000000000000000000000010000000100101000000000000000000010000000111000111100000000100000000010100000011111111101011100011101101010000110110100100000011011010010011110111010001101000000000000000000000000000000000000000100000001001010000000000000000000100000001110001111000000001000000000101000000111111111010111000111011010100001101101001000000110110100100101101110100111010000000000000000000000000000000000000001000000010010100000000000000000001000000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000000000000000000000000000000000000010000000100101000000000000000000011000000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000000000000000000000000000000000000100000001001010000000000000000000110000001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000000000000000000000000000000000000001000000010010100000000000000000001100000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101000110100000010000000000000000000000000000000010000000100101000000000000000000011000000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000100000000000000000000000000000000100000001001010000000000000000000101000001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000001000000000000000000000000000000001000000010010100000000000000000001010000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000010000000000000000000000000000000010000000100101000000000000000000010100000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000100000000000000000000000000000000100000001001010000000000000000000101000001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000001000000000000000000000000000000001000000010010100000000000000000001110000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000010000000000000000000000000000000010000000100101000000000000000000011100000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000100000000000000000000000000000000100000001001010000000000000000000111000001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000000000000000010000000000000000000001000000010010100000000000000000001110000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101000110100000000000000000100000000000000000000010000000100101000000000000000000010010000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000000000000001000000000000000000000100000001001010000000000000000000100100001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000000000000000010000000000000000000001000000010010100000000000000000001001000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000000000000000100000000000000000000010000000100101000000000000000000010010000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000000000000001000000000000000000000100000001001010000000000000000000110100001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000000000000000010000000000000000000001000000010010100000000000000000001101000011100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001111011101001110100000000000000000100000000000000000000010000000100101000000000000000000011010000111000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010010110111010001101000000100000000001000000000000000000000100000001001010000000000000000000110100001110001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100101101110100011010000001000000000010000000000000000000001000000010010100000000000000000001011000011100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001111011101000110100000010000000000100000000000000000000010000000100101000000000000000000010110000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000100000000001000000000000000000000100000001001010000000000000000000101100001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000001000000000010000000000000000000001000000010010100000000000000000001011000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000010000000000100000000000000000000010000000100101000000000000000000011110000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000100000000001000000000000000000000100000001001010000000000000000000111100001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000001000000000010000000000000000000001000000010010100000000000000000001111000011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101000110100000000000000000000000000001000000000010000000100101000000000000000000011110000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000000000000000000000000010000000000100000001001010000000000000000000100010001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000000000000000000000000000100000000001000000010010100000000000000000001000100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000000000000000000000000001000000000010000000100101000000000000000000010001000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000000000000000000000000010000000000100000001001010000000000000000000100010001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000000000000000000000000000100000000001000000010010100000000000000000001100100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000000000000000000000000001000000000010000000100101000000000000000000011001000111000111100000000100000000010100000011111111101011100010011101011000000010100100000011011010010011110111010011101000000000000000000000000000010000000000100000001001010000000000000000000110010001110001111000000001000000000101000000111111111010111000100111010110000000101001000000110110100100101101110100011010000001000000000000000000000100000000001000000010010100000000000000000001100100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000010000000000000000000001000000000010000000100101000000000000000000010101000111000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000000000000000010000000000100000001001010000000000000000000101010001110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000000000000000100000000001000000010010100000000000000000001010100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000000000000001000000000010000000100101000000000000000000010101000111000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000000000000000010000000000100000001001010000000000000000000111010001110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000001000000000000000000000100000000001000000010010100000000000000000001110100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000010000000000000000000001000000000010000000100101000000000000000000011101000111000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000000000000001000000000010000000000100000001001010000000000000000000111010001110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000000000000000010000000000100000000001000000010010100000000000000000001001100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000000000000000100000000001000000000010000000100101000000000000000000010011000111000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000000000000001000000000010000000000100000001001010000000000000000000100110001110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000000000000000010000000000100000000001000000010010100000000000000000001001100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000000000000000100000000001000000000010000000100101000000000000000000011011000111000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000000000000001000000000010000000000100000001001010000000000000000000110110001110001111000000001000000000100000000111111111010111000100111010110001101101001000000110110100100111101110100111010000000000000000010000000000100000000001000000010010100000000000000000001101100011100011110000000010000000001000000001111111110101110001001110101100011011010010000001101101001001011011101000110100000010000000000100000000001000000000010000000100101000000000000000000011011000111000111100000000100000000010000000011111111101011100010011101011000110110100100000011011010010010110111010001101000000100000000001000000000010000000000100000001001010000000000000000000101110001110001111000000001000000000100000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000010000000000100000000001000000010010100000000000000000001011100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000100000000001000000000010000000100101000000000000000000010111000111000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000001000000000010000000000100000001001010000000000000000000101110001110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000010000000000100000000001000000010010100000000000000000001111100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000010000000000100000000001000000000010000000100101000000000000000000011111000111000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000100000000001000000000010000000000100000001001010000000000000000000111110001110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000000000000000000000000000000000000000000010010010100000000000000000001111100011100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000000000000000000000000000000000000000000100100101000000000000000000010000000001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000000000000000000000000000000000000000001001001010000000000000000000100000000010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000000000000000000000000000000000000000000010010010100000000000000000001000000000100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000000000000000000000000000000000000000000100100101000000000000000000010000000001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000000000000000000000000000000000000000001001001010000000000000000000110000000010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000000000000000000000000000000000000000000010010010100000000000000000001100000000100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000000000000000000000000000000000000000000100100101000000000000000000011000000001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000100000000000000000000000000000000000001001001010000000000000000000110000000010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000001000000000000000000000000000000000000010010010100000000000000000001010000000100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000000000000000000000000000000100100101000000000000000000010100000001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000000000000000000000000000000001001001010000000000000000000101000000010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000000000000000000000000000000010010010100000000000000000001010000000100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000000000000000000000000000000100100101000000000000000000011100000001000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000100000000000000000000000000000000000001001001010000000000000000000111000000010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100111010000001000000000000000000000000000000000000010010010100000000000000000001110000000100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101000110100000000000000000100000000000000000000000000100100101000000000000000000011100000001000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010001101000000000000000001000000000000000000000000001001001010000000000000000000100100000010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100011010000000000000000010000000000000000000000000010010010100000000000000000001001000000100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101000110100000000000000000100000000000000000000000000100100101000000000000000000010010000001000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010011101000000000000000001000000000000000000000000001001001010000000000000000000100100000010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100111010000000000000000010000000000000000000000000010010010100000000000000000001101000000100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101001110100000000000000000100000000000000000000000000100100101000000000000000000011010000001000111100000000100000000010000000011111111101011100010011101011000110110100100100011011010010011110111010011101000000000000000001000000000000000000000000001001001010000000000000000000110100000010001111000000001000000000100000000111111111010111000100111010110001101101001001000110110100100101101110100011010000001000000000010000000000000000000000000010010010100000000000000000001101000000100011110000000010000000001000000001111111110101110001001110101100011011010010010001101101001001011011101000110100000010000000000100000000000000000000000000100100101000000000000000000010110000001000111100000000100000000010000000011111111101011100010011101011000110110100100100011011010010011110111010001101000000100000000001000000000000000000000000001001001010000000000000000000101100000010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100011010000001000000000010000000000000000000000000010010010100000000000000000001011000000100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101001110100000010000000000100000000000000000000000000100100101000000000000000000010110000001000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010011101000000100000000001000000000000000000000000001001001010000000000000000000111100000010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100111101110100111010000001000000000010000000000000000000000000010010010100000000000000000001111000000100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101001110100000010000000000100000000000000000000000000100100101000000000000000000011110000001000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010001101000000000000000000000000000010000000000000001001001010000000000000000000111100000010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100011010000000000000000000000000000100000000000000010010010100000000000000000001000100000100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101000110100000000000000000000000000001000000000000000100100101000000000000000000010001000001000111100000000100000000010100000011111111101001100011011101011000110110100100100011011010010011110111010001101000000000000000000000000000010000000000000001001001010000000000000000000100010000010001111000000001000000000101000000111111111010011000110111010110001101101001001000110110100100101101110100111010000000000000000000000000000100000000000000010010010100000000000000000001000100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000000000000000000000000001000000000000000100100101000000000000000000011001000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000000000000000000000000010000000000000001001001010000000000000000000110010000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000000000000000000000000000100000000000000010010010100000000000000000001100100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101000110100000010000000000000000000001000000000000000100100101000000000000000000011001000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000100000000000000000000010000000000000001001001010000000000000000000101010000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000001000000000000000000000100000000000000010010010100000000000000000001010100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000010000000000000000000001000000000000000100100101000000000000000000010101000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000100000000000000000000010000000000000001001001010000000000000000000101010000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000001000000000000000000000100000000000000010010010100000000000000000001110100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000010000000000000000000001000000000000000100100101000000000000000000011101000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000100000000000000000000010000000000000001001001010000000000000000000111010000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000000000000000010000000000100000000000000010010010100000000000000000001110100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101000110100000000000000000100000000001000000000000000100100101000000000000000000010011000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010001101000000000000000001000000000010000000000000001001001010000000000000000000100110000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000000000000000010000000000100000000000000010010010100000000000000000001001100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000000000000000100000000001000000000000000100100101000000000000000000010011000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000000000000001000000000010000000000000001001001010000000000000000000110110000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000000000000000010000000000100000000000000010010010100000000000000000001101100000100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001111011101001110100000000000000000100000000001000000000000000100100101000000000000000000011011000001000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010010110111010001101000000100000000001000000000010000000000000001001001010000000000000000000110110000010001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100101101110100011010000001000000000010000000000100000000000000010010010100000000000000000001011100000100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001111011101000110100000010000000000100000000001000000000000000100100101000000000000000000010111000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010001101000000100000000001000000000010000000000000001001001010000000000000000000101110000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000001000000000010000000000100000000000000010010010100000000000000000001011100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000010000000000100000000001000000000000000100100101000000000000000000011111000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000100000000001000000000010000000000000001001001010000000000000000000111110000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000001000000000010000000000100000000000000010010010100000000000000000001111100000100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101000110100000000000000000000000000000000000000010000100100101000000000000000000011111000001000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000000000000000000000000000000000000100001001001010000000000000000000100001000010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000000000000000000000000000000000000001000010010010100000000000000000001000010000100011110000000010000000001010000001111111110100000000000000000000000001010010010001101101001001111011101000110100000000000000000000000000000000000000010000100100101000000000000000000010000100001000111100000000100000000010100000011111111101000000000000000000000000010100100100011011010010010110111010011101000000000000000000000000000000000000000100001001001010000000000000000000100001000010001111000000001000000000101000000111111111010000000000000000000011110101001001000110110100100101101110100111010000000000000000000000000000000000000001000010010010100000000000000000001100010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000000000000000000000000000000000000010000100100101000000000000000000011000100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000000000000000000000000000000000000100001001001010000000000000000000110001000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100011010000001000000000000000000000000000000001000010010010100000000000000000001100010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000010000000000000000000000000000000010000100100101000000000000000000010100100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000100000000000000000000000000000000100001001001010000000000000000000101001000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000001000000000000000000000000000000001000010010010100000000000000000001010010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000010000000000000000000000000000000010000100100101000000000000000000010100100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000100000000000000000000000000000000100001001001010000000000000000000111001000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000001000000000000000000000000000000001000010010010100000000000000000001110010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000010000000000000000000000000000000010000100100101000000000000000000011100100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000000000000001000000000000000000000100001001001010000000000000000000111001000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100011010000000000000000010000000000000000000001000010010010100000000000000000001001010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101000110100000000000000000100000000000000000000010000100100101000000000000000000010010100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000000000000001000000000000000000000100001001001010000000000000000000100101000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000000000000000010000000000000000000001000010010010100000000000000000001001010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000000000000000100000000000000000000010000100100101000000000000000000011010100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000000000000001000000000000000000000100001001001010000000000000000000110101000010001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100111101110100111010000000000000000010000000000000000000001000010010010100000000000000000001101010000100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001011011101000110100000010000000000100000000000000000000010000100100101000000000000000000011010100001000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010010110111010001101000000100000000001000000000000000000000100001001001010000000000000000000101101000010001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100111101110100011010000001000000000010000000000000000000001000010010010100000000000000000001011010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101000110100000010000000000100000000000000000000010000100100101000000000000000000010110100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000100000000001000000000000000000000100001001001010000000000000000000101101000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000001000000000010000000000000000000001000010010010100000000000000000001111010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000010000000000100000000000000000000010000100100101000000000000000000011110100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000100000000001000000000000000000000100001001001010000000000000000000111101000010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100011010000000000000000000000000000100000000001000010010010100000000000000000001111010000100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000000000000000000000000001000000000010000100100101000000000000000000010001100001000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000000000000000000000000010000000000100001001001010000000000000000000100011000010001111000000001000000000101000000111111111010100000000000000000101010101001001000110110100100111101110100011010000000000000000000000000000100000000001000010010010100000000000000000001000110000100011110000000010000000001010000001111111110101000000000000000001010101010010010001101101001001011011101001110100000000000000000000000000001000000000010000100100101000000000000000000010001100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000000000000000000000000010000000000100001001001010000000000000000000110011000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000000000000000000000000000100000000001000010010010100000000000000000001100110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000000000000000000000000001000000000010000100100101000000000000000000011001100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010001101000000100000000000000000000010000000000100001001001010000000000000000000110011000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000001000000000000000000000100000000001000010010010100000000000000000001010110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000010000000000000000000001000000000010000100100101000000000000000000010101100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000100000000000000000000010000000000100001001001010000000000000000000101011000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000001000000000000000000000100000000001000010010010100000000000000000001010110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000010000000000000000000001000000000010000100100101000000000000000000011101100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000100000000000000000000010000000000100001001001010000000000000000000111011000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000001000000000000000000000100000000001000010010010100000000000000000001110110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000000000000000100000000001000000000010000100100101000000000000000000011101100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010001101000000000000000001000000000010000000000100001001001010000000000000000000100111000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100011010000000000000000010000000000100000000001000010010010100000000000000000001001110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000000000000000100000000001000000000010000100100101000000000000000000010011100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000000000000001000000000010000000000100001001001010000000000000000000100111000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000000000000000010000000000100000000001000010010010100000000000000000001101110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000000000000000100000000001000000000010000100100101000000000000000000011011100001000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010011110111010011101000000000000000001000000000010000000000100001001001010000000000000000000110111000010001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100101101110100011010000001000000000010000000000100000000001000010010010100000000000000000001101110000100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001011011101000110100000010000000000100000000001000000000010000100100101000000000000000000010111100001000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010011110111010001101000000100000000001000000000010000000000100001001001010000000000000000000101111000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100011010000001000000000010000000000100000000001000010010010100000000000000000001011110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000010000000000100000000001000000000010000100100101000000000000000000010111100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000100000000001000000000010000000000100001001001010000000000000000000111111000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000001000000000010000000000100000000001000010010010100000000000000000001111110000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000010000000000100000000001000000000010000100100101000000000000000000011111100001000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010001101000000000000000000000000000000000000000000000101001010000000000000000000111111000010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000000000000000000000000000000000000000000001010010100000000000000000001000001000100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000000000000000000000000000000000000000000010100101000000000000000000010000010001000111100000000100000000010100000011111111101010000001101010111100000010100100100011011010010011110111010001101000000000000000000000000000000000000000000000101001010000000000000000000100000100010001111000000001000000000101000000111111111010100000011010101111000000101001001000110110100100101101110100111010000000000000000000000000000000000000000000001010010100000000000000000001000001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001011011101001110100000000000000000000000000000000000000000000010100101000000000000000000011000010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010011101000000000000000000000000000000000000000000000101001010000000000000000000110000100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100111010000000000000000000000000000000000000000000001010010100000000000000000001100001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001011011101000110100000010000000000000000000000000000000000000010100101000000000000000000011000010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010110111010001101000000100000000000000000000000000000000000000101001010000000000000000000101000100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100011010000001000000000000000000000000000000000000001010010100000000000000000001010001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101000110100000010000000000000000000000000000000000000010100101000000000000000000010100010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010110111010011101000000100000000000000000000000000000000000000101001010000000000000000000101000100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100101101110100111010000001000000000000000000000000000000000000001010010100000000000000000001110001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101001110100000010000000000000000000000000000000000000010100101000000000000000000011100010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010011101000000100000000000000000000000000000000000000101001010000000000000000000111000100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100011010000000000000000010000000000000000000000000001010010100000000000000000001110001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101000110100000000000000000100000000000000000000000000010100101000000000000000000010010010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111110111010001101000000000000000001000000000000000000000000000101001010000000000000000000100100100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100011010000000000000000010000000000000000000000000001010010100000000000000000001001001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101001110100000000000000000100000000000000000000000000010100101000000000000000000010010010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010011101000000000000000001000000000000000000000000000101001010000000000000000000110100100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100111010000000000000000010000000000000000000000000001010010100000000000000000001101001000100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011111011101001110100000000000000000100000000000000000000000000010100101000000000000000000011010010001000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010110110111010001101000000100000000001000000000000000000000000000101001010000000000000000000110100100010001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101101101110100011010000001000000000010000000000000000000000000001010010100000000000000000001011001000100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011111011101000110100000010000000000100000000000000000000000000010100101000000000000000000010110010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111110111010001101000000100000000001000000000000000000000000000101001010000000000000000000101100100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100111010000001000000000010000000000000000000000000001010010100000000000000000001011001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101001110100000010000000000100000000000000000000000000010100101000000000000000000011110010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111110111010011101000000100000000001000000000000000000000000000101001010000000000000000000111100100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100111010000001000000000010000000000000000000000000001010010100000000000000000001111001000100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101000110100000000000000000000000000001000000000000000010100101000000000000000000011110010001000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010001101000000000000000000000000000010000000000000000101001010000000000000000000100010100010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100011010000000000000000000000000000100000000000000001010010100000000000000000001000101000100011110000000010000000001010000001111111110101110001101110101111111111010010110001101101001011111011101000110100000000000000000000000000001000000000000000010100101000000000000000000010001010001000111100000000100000000010100000011111111101011100011011101011111111110100101100011011010010110110111010011101000000000000000000000000000010000000000000000101001010000000000000000000100010100010001111000000001000000000101000000111111111010111000110111010100000000101001011000110110100101101101110100111010000000000000000000000000000100000000000000001010010100000000000000000001100101000100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011111011101001110100000000000000000000000000001000000000000000010100101000000000000000000011001010001000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111110111010011101000000000000000000000000000010000000000000000101001010000000000000000000110010100010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101101101110100011010000001000000000000000000000100000000000000001010010100000000000000000001100101000100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011011011101000110100000010000000000000000000001000000000000000010100101000000000000000000010101010001000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111110111010001101000000100000000000000000000010000000000000000101001010000000000000000000101010100010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101111101110100011010000001000000000000000000000100000000000000001010010100000000000000000001010101000100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011011011101001110100000010000000000000000000001000000000000000010100101000000000000000000010101010001000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110110111010011101000000100000000000000000000010000000000000000101001010000000000000000000111010100010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101111101110100111010000001000000000000000000000100000000000000001010010100000000000000000001110101000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101001110100000010000000000000000000001000000000000000010100101000000000000000000011101010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010001101000000000000000001000000000010000000000000000101001010000000000000000000111010100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100011010000000000000000010000000000100000000000000001010010100000000000000000001001101000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101000110100000000000000000100000000001000000000000000010100101000000000000000000010011010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010001101000000000000000001000000000010000000000000000101001010000000000000000000100110100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100111010000000000000000010000000000100000000000000001010010100000000000000000001001101000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101001110100000000000000000100000000001000000000000000010100101000000000000000000011011010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010011101000000000000000001000000000010000000000000000101001010000000000000000000110110100010001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101111101110100111010000000000000000010000000000100000000000000001010010100000000000000000001101101000100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011011011101000110100000010000000000100000000001000000000000000010100101000000000000000000011011010001000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010110110111010001101000000100000000001000000000010000000000000000101001010000000000000000000101110100010001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101111101110100011010000001000000000010000000000100000000000000001010010100000000000000000001011101000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101000110100000010000000000100000000001000000000000000010100101000000000000000000010111010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010011101000000100000000001000000000010000000000000000101001010000000000000000000101110100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100111010000001000000000010000000000100000000000000001010010100000000000000000001111101000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011111011101001110100000010000000000100000000001000000000000000010100101000000000000000000011111010001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010011101000000100000000001000000000010000000000000000101001010000000000000000000111110100010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100011010000000000000000000000000000000000000001000001010010100000000000000000001111101000100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101000110100000000000000000000000000000000000000010000010100101000000000000000000010000110001000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010001101000000000000000000000000000000000000000100000101001010000000000000000000100001100010001111000000001000000000101000000111111111010011000101111010110110001101001011011000110100101111101110100011010000000000000000000000000000000000000001000001010010100000000000000000001000011000100011110000000010000000001010000001111111110100110001011110101101100011010010110110001101001011011011101001110100000000000000000000000000000000000000010000010100101000000000000000000010000110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000000000000000000000000000000000000100000101001010000000000000000000110001100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000000000000000000000000000000000000001000001010010100000000000000000001100011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000000000000000000000000000000000000010000010100101000000000000000000011000110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010001101000000100000000000000000000000000000000100000101001010000000000000000000110001100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000001000000000000000000000000000000001000001010010100000000000000000001010011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000010000000000000000000000000000000010000010100101000000000000000000010100110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000100000000000000000000000000000000100000101001010000000000000000000101001100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000001000000000000000000000000000000001000001010010100000000000000000001010011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000010000000000000000000000000000000010000010100101000000000000000000011100110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000100000000000000000000000000000000100000101001010000000000000000000111001100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000001000000000000000000000000000000001000001010010100000000000000000001110011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000000000000000100000000000000000000010000010100101000000000000000000011100110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010001101000000000000000001000000000000000000000100000101001010000000000000000000100101100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100011010000000000000000010000000000000000000001000001010010100000000000000000001001011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000000000000000100000000000000000000010000010100101000000000000000000010010110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000000000000001000000000000000000000100000101001010000000000000000000100101100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000000000000000010000000000000000000001000001010010100000000000000000001101011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000000000000000100000000000000000000010000010100101000000000000000000011010110001000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010111110111010011101000000000000000001000000000000000000000100000101001010000000000000000000110101100010001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101101101110100011010000001000000000010000000000000000000001000001010010100000000000000000001101011000100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011011011101000110100000010000000000100000000000000000000010000010100101000000000000000000010110110001000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010111110111010001101000000100000000001000000000000000000000100000101001010000000000000000000101101100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100011010000001000000000010000000000000000000001000001010010100000000000000000001011011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000010000000000100000000000000000000010000010100101000000000000000000010110110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000100000000001000000000000000000000100000101001010000000000000000000111101100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000001000000000010000000000000000000001000001010010100000000000000000001111011000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000010000000000100000000000000000000010000010100101000000000000000000011110110001000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010001101000000000000000000000000000010000000000100000101001010000000000000000000111101100010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000000000000000000000000000100000000001000001010010100000000000000000001000111000100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000000000000000000000000001000000000010000010100101000000000000000000010001110001000111100000000100000000010100000011111111101011100010111101011110000010100101101100011010010111110111010001101000000000000000000000000000010000000000100000101001010000000000000000000100011100010001111000000001000000000101000000111111111010111000101111010111100000101001011011000110100101101101110100111010000000000000000000000000000100000000001000001010010100000000000000000001000111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000000000000000000000000001000000000010000010100101000000000000000000011001110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000000000000000000000000010000000000100000101001010000000000000000000110011100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000000000000000000000000000100000000001000001010010100000000000000000001100111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101000110100000010000000000000000000001000000000010000010100101000000000000000000011001110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000100000000000000000000010000000000100000101001010000000000000000000101011100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000001000000000000000000000100000000001000001010010100000000000000000001010111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000010000000000000000000001000000000010000010100101000000000000000000010101110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000100000000000000000000010000000000100000101001010000000000000000000101011100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000001000000000000000000000100000000001000001010010100000000000000000001110111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000010000000000000000000001000000000010000010100101000000000000000000011101110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000100000000000000000000010000000000100000101001010000000000000000000111011100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000000000000000010000000000100000000001000001010010100000000000000000001110111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101000110100000000000000000100000000001000000000010000010100101000000000000000000010011110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010001101000000000000000001000000000010000000000100000101001010000000000000000000100111100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000000000000000010000000000100000000001000001010010100000000000000000001001111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000000000000000100000000001000000000010000010100101000000000000000000010011110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000000000000001000000000010000000000100000101001010000000000000000000110111100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000000000000000010000000000100000000001000001010010100000000000000000001101111000100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011111011101001110100000000000000000100000000001000000000010000010100101000000000000000000011011110001000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010110110111010001101000000100000000001000000000010000000000100000101001010000000000000000000110111100010001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101101101110100011010000001000000000010000000000100000000001000001010010100000000000000000001011111000100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011111011101000110100000010000000000100000000001000000000010000010100101000000000000000000010111110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010001101000000100000000001000000000010000000000100000101001010000000000000000000101111100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000001000000000010000000000100000000001000001010010100000000000000000001011111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000010000000000100000000001000000000010000010100101000000000000000000011111110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000100000000001000000000010000000000100000101001010000000000000000000111111100010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000001000000000010000000000100000000001000001010010100000000000000000001111111000100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101000110100000000000000000000000000000000000000000000110100101000000000000000000011111110001000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000000000000000000000000000000000000000001101001010000000000000000000100000010010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000000000000000000000000000000000000000000011010010100000000000000000001000000100100011110000000010000000001010000001111111110101000100110000000000001001111111110110001101001011111011101000110100000000000000000000000000000000000000000000110100101000000000000000000010000001001000111100000000100000000010100000011111111111010001001100000000000010011111111101100011010010110110111010011101000000000000000000000000000000000000000000001101001010000000000000000000100000010010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100111010000000000000000000000000000000000000000000011010010100000000000000000001100000100100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000000000000000000000000000000000000000000110100101000000000000000000011000001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000000000000000000000000000000000000000001101001010000000000000000000110000010010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100011010000001000000000000000000000000000000000000011010010100000000000000000001100000100100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000010000000000000000000000000000000000000110100101000000000000000000010100001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010001101000000100000000000000000000000000000000000001101001010000000000000000000101000010010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100011010000001000000000000000000000000000000000000011010010100000000000000000001010000100100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101001110100000010000000000000000000000000000000000000110100101000000000000000000010100001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010011101000000100000000000000000000000000000000000001101001010000000000000000000111000010010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000001000000000000000000000000000000000000011010010100000000000000000001110000100100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000010000000000000000000000000000000000000110100101000000000000000000011100001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000000000000001000000000000000000000000001101001010000000000000000000111000010010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100011010000000000000000010000000000000000000000000011010010100000000000000000001001000100100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011111011101000110100000000000000000100000000000000000000000000110100101000000000000000000010010001001000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010111110111010001101000000000000000001000000000000000000000000001101001010000000000000000000100100010010001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101101101110100111010000000000000000010000000000000000000000000011010010100000000000000000001001000100100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011011011101001110100000000000000000100000000000000000000000000110100101000000000000000000011010001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000000000000001000000000000000000000000001101001010000000000000000000110100010010001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101111101110100111010000000000000000010000000000000000000000000011010010100000000000000000001101000100100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011011011101000110100000010000000000100000000000000000000000000110100101000000000000000000011010001001000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010110110111010001101000000100000000001000000000000000000000000001101001010000000000000000000101100010010001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101111101110100011010000001000000000010000000000000000000000000011010010100000000000000000001011000100100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101000110100000010000000000100000000000000000000000000110100101000000000000000000010110001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010011101000000100000000001000000000000000000000000001101001010000000000000000000101100010010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100111010000001000000000010000000000000000000000000011010010100000000000000000001111000100100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000010000000000100000000000000000000000000110100101000000000000000000011110001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000100000000001000000000000000000000000001101001010000000000000000000111100010010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100011010000000000000000000000000000100000000000000011010010100000000000000000001111000100100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000000000000000000000000001000000000000000110100101000000000000000000010001001001000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010001101000000000000000000000000000010000000000000001101001010000000000000000000100010010010001111000000001000000000101000000111111111110011000111111010111111111101001011011000110100101111101110100011010000000000000000000000000000100000000000000011010010100000000000000000001000100100100011110000000010000000001010000001111111110100110001111110101111111111010010110110001101001011011011101001110100000000000000000000000000001000000000000000110100101000000000000000000010001001001000111100000000100000000010100000011111111101001100011111101011111111110100101101100011010010110110111010011101000000000000000000000000000010000000000000001101001010000000000000000000110010010010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101111101110100111010000000000000000000000000000100000000000000011010010100000000000000000001100100100100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011111011101001110100000000000000000000000000001000000000000000110100101000000000000000000011001001001000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110110111010001101000000100000000000000000000010000000000000001101001010000000000000000000110010010010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101101101110100011010000001000000000000000000000100000000000000011010010100000000000000000001010100100100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011111011101000110100000010000000000000000000001000000000000000110100101000000000000000000010101001001000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111110111010001101000000100000000000000000000010000000000000001101001010000000000000000000101010010010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101101101110100111010000001000000000000000000000100000000000000011010010100000000000000000001010100100100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011011011101001110100000010000000000000000000001000000000000000110100101000000000000000000011101001001000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111110111010011101000000100000000000000000000010000000000000001101001010000000000000000000111010010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000001000000000000000000000100000000000000011010010100000000000000000001110100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101000110100000000000000000100000000001000000000000000110100101000000000000000000011101001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000000000000001000000000010000000000000001101001010000000000000000000100110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000000000000000010000000000100000000000000011010010100000000000000000001001100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000000000000000100000000001000000000000000110100101000000000000000000010011001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000000000000001000000000010000000000000001101001010000000000000000000100110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000000000000000010000000000100000000000000011010010100000000000000000001101100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000000000000000100000000001000000000000000110100101000000000000000000011011001001000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010111110111010011101000000000000000001000000000010000000000000001101001010000000000000000000110110010010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101101101110100011010000001000000000010000000000100000000000000011010010100000000000000000001101100100100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011011011101000110100000010000000000100000000001000000000000000110100101000000000000000000010111001001000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010111110111010001101000000100000000001000000000010000000000000001101001010000000000000000000101110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000001000000000010000000000100000000000000011010010100000000000000000001011100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000010000000000100000000001000000000000000110100101000000000000000000010111001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000100000000001000000000010000000000000001101001010000000000000000000111110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000001000000000010000000000100000000000000011010010100000000000000000001111100100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000010000000000100000000001000000000000000110100101000000000000000000011111001001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000000000000000000000000000000000000100001101001010000000000000000000111110010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000000000000000000000000000000000000001000011010010100000000000000000001000010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000000000000000000000000000000000000010000110100101000000000000000000010000101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000000000000000000000000000000000000100001101001010000000000000000000100001010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000000000000000000000000000000000000001000011010010100000000000000000001000010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000000000000000000000000000000000000010000110100101000000000000000000011000101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000000000000000000000000000000000000100001101001010000000000000000000110001010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000000000000000000000000000000000000001000011010010100000000000000000001100010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101000110100000010000000000000000000000000000000010000110100101000000000000000000011000101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000100000000000000000000000000000000100001101001010000000000000000000101001010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000001000000000000000000000000000000001000011010010100000000000000000001010010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000010000000000000000000000000000000010000110100101000000000000000000010100101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000100000000000000000000000000000000100001101001010000000000000000000101001010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000001000000000000000000000000000000001000011010010100000000000000000001110010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000010000000000000000000000000000000010000110100101000000000000000000011100101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000100000000000000000000000000000000100001101001010000000000000000000111001010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100011010000000000000000010000000000000000000001000011010010100000000000000000001110010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101000110100000000000000000100000000000000000000010000110100101000000000000000000010010101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011110111010001101000000000000000001000000000000000000000100001101001010000000000000000000100101010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100011010000000000000000010000000000000000000001000011010010100000000000000000001001010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101001110100000000000000000100000000000000000000010000110100101000000000000000000010010101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010011101000000000000000001000000000000000000000100001101001010000000000000000000110101010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100111010000000000000000010000000000000000000001000011010010100000000000000000001101010100100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001111011101001110100000000000000000100000000000000000000010000110100101000000000000000000011010101001000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010010110111010001101000000100000000001000000000000000000000100001101001010000000000000000000110101010010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100101101110100011010000001000000000010000000000000000000001000011010010100000000000000000001011010100100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001111011101000110100000010000000000100000000000000000000010000110100101000000000000000000010110101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011110111010001101000000100000000001000000000000000000000100001101001010000000000000000000101101010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100111010000001000000000010000000000000000000001000011010010100000000000000000001011010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101001110100000010000000000100000000000000000000010000110100101000000000000000000011110101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011110111010011101000000100000000001000000000000000000000100001101001010000000000000000000111101010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100111010000001000000000010000000000000000000001000011010010100000000000000000001111010100100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101000110100000000000000000000000000001000000000010000110100101000000000000000000011110101001000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010001101000000000000000000000000000010000000000100001101001010000000000000000000100011010010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100011010000000000000000000000000000100000000001000011010010100000000000000000001000110100100011110000000010000000001010000001111111110101110001111110101010100111010010001010011101001001111011101000110100000000000000000000000000001000000000010000110100101000000000000000000010001101001000111100000000100000000010100000011111111101011100011111101010101001110100100010100111010010010110111010011101000000000000000000000000000010000000000100001101001010000000000000000000100011010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100101101110100111010000000000000000000000000000100000000001000011010010100000000000000000001100110100100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001111011101001110100000000000000000000000000001000000000010000110100101000000000000000000011001101001000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011110111010011101000000000000000000000000000010000000000100001101001010000000000000000000110011010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100101101110100011010000001000000000000000000000100000000001000011010010100000000000000000001100110100100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001011011101000110100000010000000000000000000001000000000010000110100101000000000000000000010101101001000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011110111010001101000000100000000000000000000010000000000100001101001010000000000000000000101011010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100111101110100011010000001000000000000000000000100000000001000011010010100000000000000000001010110100100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001011011101001110100000010000000000000000000001000000000010000110100101000000000000000000010101101001000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010110111010011101000000100000000000000000000010000000000100001101001010000000000000000000111011010010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100111101110100111010000001000000000000000000000100000000001000011010010100000000000000000001110110100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101001110100000010000000000000000000001000000000010000110100101000000000000000000011101101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010001101000000000000000001000000000010000000000100001101001010000000000000000000111011010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100011010000000000000000010000000000100000000001000011010010100000000000000000001001110100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101000110100000000000000000100000000001000000000010000110100101000000000000000000010011101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010001101000000000000000001000000000010000000000100001101001010000000000000000000100111010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100111010000000000000000010000000000100000000001000011010010100000000000000000001001110100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101001110100000000000000000100000000001000000000010000110100101000000000000000000011011101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010011101000000000000000001000000000010000000000100001101001010000000000000000000110111010010001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100111101110100111010000000000000000010000000000100000000001000011010010100000000000000000001101110100100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001011011101000110100000010000000000100000000001000000000010000110100101000000000000000000011011101001000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010010110111010001101000000100000000001000000000010000000000100001101001010000000000000000000101111010010001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100111101110100011010000001000000000010000000000100000000001000011010010100000000000000000001011110100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101000110100000010000000000100000000001000000000010000110100101000000000000000000010111101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010110111010011101000000100000000001000000000010000000000100001101001010000000000000000000101111010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100111010000001000000000010000000000100000000001000011010010100000000000000000001111110100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001111011101001110100000010000000000100000000001000000000010000110100101000000000000000000011111101001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010011101000000100000000001000000000010000000000100001101001010000000000000000000111111010010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100101101110100011010000000000000000000000000000000000000000000000110010100000000000000000001111110100100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001011011101000110100000000000000000000000000000000000000000000001100101000000000000000000010000011001000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011110111010001101000000000000000000000000000000000000000000000011001010000000000000000000100000110010001111000000001000000000101000000111111111010011000100000110100001011101001000000101110100100111101110100011010000000000000000000000000000000000000000000000110010100000000000000000001000001100100011110000000010000000001010000001111111110100110001000001101000010111010010000001011101001001011011101001110100000000000000000000000000000000000000000000001100101000000000000000000010000011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000000000000000000000000000000000000000000011001010000000000000000000110000110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000000000000000000000000000000000000000000000110010100000000000000000001100001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000000000000000000000000000000000000000000001100101000000000000000000011000011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010001101000000100000000000000000000000000000000000000011001010000000000000000000110000110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100011010000001000000000000000000000000000000000000000110010100000000000000000001010001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101000110100000010000000000000000000000000000000000000001100101000000000000000000010100011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010001101000000100000000000000000000000000000000000000011001010000000000000000000101000110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000001000000000000000000000000000000000000000110010100000000000000000001010001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000010000000000000000000000000000000000000001100101000000000000000000011100011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000100000000000000000000000000000000000000011001010000000000000000000111000110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000001000000000000000000000000000000000000000110010100000000000000000001110001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101000110100000000000000000100000000000000000000000000001100101000000000000000000011100011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010001101000000000000000001000000000000000000000000000011001010000000000000000000100100110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100011010000000000000000010000000000000000000000000000110010100000000000000000001001001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101000110100000000000000000100000000000000000000000000001100101000000000000000000010010011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000000000000001000000000000000000000000000011001010000000000000000000100100110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000000000000000010000000000000000000000000000110010100000000000000000001101001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000000000000000100000000000000000000000000001100101000000000000000000011010011001000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010011110111010011101000000000000000001000000000000000000000000000011001010000000000000000000110100110010001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100101101110100011010000001000000000010000000000000000000000000000110010100000000000000000001101001100100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001011011101000110100000010000000000100000000000000000000000000001100101000000000000000000010110011001000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010011110111010001101000000100000000001000000000000000000000000000011001010000000000000000000101100110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100011010000001000000000010000000000000000000000000000110010100000000000000000001011001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000010000000000100000000000000000000000000001100101000000000000000000010110011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010011101000000100000000001000000000000000000000000000011001010000000000000000000111100110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100111101110100111010000001000000000010000000000000000000000000000110010100000000000000000001111001100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101001110100000010000000000100000000000000000000000000001100101000000000000000000011110011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010110111010001101000000000000000000000000000010000000000000000011001010000000000000000000111100110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100011010000000000000000000000000000100000000000000000110010100000000000000000001000101100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001111011101000110100000000000000000000000000001000000000000000001100101000000000000000000010001011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010001101000000000000000000000000000010000000000000000011001010000000000000000000100010110010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100101101110100111010000000000000000000000000000100000000000000000110010100000000000000000001000101100100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001011011101001110100000000000000000000000000001000000000000000001100101000000000000000000011001011001000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011110111010011101000000000000000000000000000010000000000000000011001010000000000000000000110010110010001111000000001000000000101000000111111111010011000111011010110101111101001000000101110100100111101110100111010000000000000000000000000000100000000000000000110010100000000000000000001100101100100011110000000010000000001010000001111111110100110001110110101101011111010010000001011101001001011011101000110100000010000000000000000000001000000000000000001100101000000000000000000011001011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010001101000000100000000000000000000010000000000000000011001010000000000000000000101010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000001000000000000000000000100000000000000000110010100000000000000000001010101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000010000000000000000000001000000000000000001100101000000000000000000010101011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000100000000000000000000010000000000000000011001010000000000000000000101010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000001000000000000000000000100000000000000000110010100000000000000000001110101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000010000000000000000000001000000000000000001100101000000000000000000011101011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000100000000000000000000010000000000000000011001010000000000000000000111010110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100011010000000000000000010000000000100000000000000000110010100000000000000000001110101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101000110100000000000000000100000000001000000000000000001100101000000000000000000010011011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000000000000001000000000010000000000000000011001010000000000000000000100110110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000000000000000010000000000100000000000000000110010100000000000000000001001101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000000000000000100000000001000000000000000001100101000000000000000000010011011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000000000000001000000000010000000000000000011001010000000000000000000110110110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000000000000000010000000000100000000000000000110010100000000000000000001101101100100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001111011101001110100000000000000000100000000001000000000000000001100101000000000000000000011011011001000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010010110111010001101000000100000000001000000000010000000000000000011001010000000000000000000110110110010001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100101101110100011010000001000000000010000000000100000000000000000110010100000000000000000001011101100100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001111011101000110100000010000000000100000000001000000000000000001100101000000000000000000010111011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000100000000001000000000010000000000000000011001010000000000000000000101110110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000001000000000010000000000100000000000000000110010100000000000000000001011101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000010000000000100000000001000000000000000001100101000000000000000000011111011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000100000000001000000000010000000000000000011001010000000000000000000111110110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000001000000000010000000000100000000000000000110010100000000000000000001111101100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101000110100000000000000000000000000000000000000010000001100101000000000000000000011111011001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010001101000000000000000000000000000000000000000100000011001010000000000000000000100001110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000000000000000000000000000000000000001000000110010100000000000000000001000011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101000110100000000000000000000000000000000000000010000001100101000000000000000000010000111001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000000000000000000000000000000000000100000011001010000000000000000000100001110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100111010000000000000000000000000000000000000001000000110010100000000000000000001100011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001111011101001110100000000000000000000000000000000000000010000001100101000000000000000000011000111001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010011101000000000000000000000000000000000000000100000011001010000000000000000000110001110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100101101110100011010000001000000000000000000000000000000001000000110010100000000000000000001100011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101000110100000010000000000000000000000000000000010000001100101000000000000000000010100111001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011110111010001101000000100000000000000000000000000000000100000011001010000000000000000000101001110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100011010000001000000000000000000000000000000001000000110010100000000000000000001010011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001011011101001110100000010000000000000000000000000000000010000001100101000000000000000000010100111001000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010110111010011101000000100000000000000000000000000000000100000011001010000000000000000000111001110010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100111101110100111010000001000000000000000000000000000000001000000110010100000000000000000001110011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101001110100000010000000000000000000000000000000010000001100101000000000000000000011100111001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010001101000000000000000001000000000000000000000100000011001010000000000000000000111001110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100011010000000000000000010000000000000000000001000000110010100000000000000000001001011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101000110100000000000000000100000000000000000000010000001100101000000000000000000010010111001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010001101000000000000000001000000000000000000000100000011001010000000000000000000100101110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100111010000000000000000010000000000000000000001000000110010100000000000000000001001011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101001110100000000000000000100000000000000000000010000001100101000000000000000000011010111001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010011101000000000000000001000000000000000000000100000011001010000000000000000000110101110010001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100111101110100111010000000000000000010000000000000000000001000000110010100000000000000000001101011100100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001011011101000110100000010000000000100000000000000000000010000001100101000000000000000000011010111001000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010010110111010001101000000100000000001000000000000000000000100000011001010000000000000000000101101110010001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100111101110100011010000001000000000010000000000000000000001000000110010100000000000000000001011011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101000110100000010000000000100000000000000000000010000001100101000000000000000000010110111001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010110111010011101000000100000000001000000000000000000000100000011001010000000000000000000101101110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100111010000001000000000010000000000000000000001000000110010100000000000000000001111011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001111011101001110100000010000000000100000000000000000000010000001100101000000000000000000011110111001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010011101000000100000000001000000000000000000000100000011001010000000000000000000111101110010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100101101110100011010000000000000000000000000000100000000001000000110010100000000000000000001111011100100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001011011101000110100000000000000000000000000001000000000010000001100101000000000000000000010001111001000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011110111010001101000000000000000000000000000010000000000100000011001010000000000000000000100011110010001111000000001000000000101000000111111111010111000111011010100001101101001000000110110100100111101110100011010000000000000000000000000000100000000001000000110010100000000000000000001000111100100011110000000010000000001010000001111111110101110001110110101000011011010010000001101101001001011011101001110100000000000000000000000000001000000000010000001100101000000000000000000010001111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000000000000000000000000010000000000100000011001010000000000000000000110011110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000000000000000000000000000100000000001000000110010100000000000000000001100111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000000000000000000000000001000000000010000001100101000000000000000000011001111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000100000000000000000000010000000000100000011001010000000000000000000110011110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000001000000000000000000000100000000001000000110010100000000000000000001010111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000010000000000000000000001000000000010000001100101000000000000000000010101111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000100000000000000000000010000000000100000011001010000000000000000000101011110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000001000000000000000000000100000000001000000110010100000000000000000001010111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000010000000000000000000001000000000010000001100101000000000000000000011101111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000100000000000000000000010000000000100000011001010000000000000000000111011110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000001000000000000000000000100000000001000000110010100000000000000000001110111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101000110100000000000000000100000000001000000000010000001100101000000000000000000011101111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000000000000001000000000010000000000100000011001010000000000000000000100111110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000000000000000010000000000100000000001000000110010100000000000000000001001111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000000000000000100000000001000000000010000001100101000000000000000000010011111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000000000000001000000000010000000000100000011001010000000000000000000100111110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000000000000000010000000000100000000001000000110010100000000000000000001101111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000000000000000100000000001000000000010000001100101000000000000000000011011111001000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010011110111010011101000000000000000001000000000010000000000100000011001010000000000000000000110111110010001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100101101110100011010000001000000000010000000000100000000001000000110010100000000000000000001101111100100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001011011101000110100000010000000000100000000001000000000010000001100101000000000000000000010111111001000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010011110111010001101000000100000000001000000000010000000000100000011001010000000000000000000101111110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100011010000001000000000010000000000100000000001000000110010100000000000000000001011111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000010000000000100000000001000000000010000001100101000000000000000000010111111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010011101000000100000000001000000000010000000000100000011001010000000000000000000111111110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100111101110100111010000001000000000010000000000100000000001000000110010100000000000000000001111111100100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101001110100000010000000000100000000001000000000010000001100101000000000000000000011111111001000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010110111010001101000000000000000000000000000000000000000000001011001010000000000000000000111111110010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100011010000000000000000000000000000000000000000000010110010100000000000000000001000000010100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001111011101000110100000000000000000000000000000000000000000000101100101000000000000000000010000000101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010001101000000000000000000000000000000000000000000001011001010000000000000000000100000001010001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100101101110100111010000000000000000000000000000000000000000000010110010100000000000000000001000000010100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001011011101001110100000000000000000000000000000000000000000000101100101000000000000000000011000000101000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011110111010011101000000000000000000000000000000000000000000001011001010000000000000000000110000001010001111000000001000000000101000000111111111010111000100111010110000000101001000000110110100100111101110100111010000000000000000000000000000000000000000000010110010100000000000000000001100000010100011110000000010000000001010000001111111110101110001001110101100000001010010000001101101001001011011101000110100000010000000000000000000000000000000000000101100101000000000000000000011000000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000100000000000000000000000000000000000001011001010000000000000000000101000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000000000000000000000000000000010110010100000000000000000001010000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000000000000000000000000000000101100101000000000000000000010100000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000000000000000000000000000000001011001010000000000000000000101000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000000000000000000000000000000010110010100000000000000000001110000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000010000000000000000000000000000000000000101100101000000000000000000011100000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000100000000000000000000000000000000000001011001010000000000000000000111000001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000000000000000010000000000000000000000000010110010100000000000000000001110000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000000000000000100000000000000000000000000101100101000000000000000000010010000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000000000000001000000000000000000000000001011001010000000000000000000100100001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000000000000000010000000000000000000000000010110010100000000000000000001001000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000000000000000100000000000000000000000000101100101000000000000000000010010000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000000000000001000000000000000000000000001011001010000000000000000000110100001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000000000000000010000000000000000000000000010110010100000000000000000001101000010100011110000000010000000001000000001111111110101110001001110101100011011010010000001101101001001111011101001110100000000000000000100000000000000000000000000101100101000000000000000000011010000101000111100000000100000000010000000011111111101011100010011101011000110110100100000011011010010010110111010001101000000100000000001000000000000000000000000001011001010000000000000000000110100001010001111000000001000000000100000000111111111010111000100111010110001101101001000000110110100100101101110100011010000001000000000010000000000000000000000000010110010100000000000000000001011000010100011110000000010000000001000000001111111110101110001001110101100011011010010000001101101001001111011101000110100000010000000000100000000000000000000000000101100101000000000000000000010110000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000001000000000000000000000000001011001010000000000000000000101100001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000001000000000010000000000000000000000000010110010100000000000000000001011000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000100000000000000000000000000101100101000000000000000000011110000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000100000000001000000000000000000000000001011001010000000000000000000111100001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000001000000000010000000000000000000000000010110010100000000000000000001111000010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000000000000000000000000001000000000000000101100101000000000000000000011110000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010001101000000000000000000000000000010000000000000001011001010000000000000000000100010001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000000000000000000000000000100000000000000010110010100000000000000000001000100010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101000110100000000000000000000000000001000000000000000101100101000000000000000000010001000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000000000000000000000000010000000000000001011001010000000000000000000100010001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100111010000000000000000000000000000100000000000000010110010100000000000000000001100100010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001111011101001110100000000000000000000000000001000000000000000101100101000000000000000000011001000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010011101000000000000000000000000000010000000000000001011001010000000000000000000110010001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100101101110100011010000001000000000000000000000100000000000000010110010100000000000000000001100100010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101000110100000010000000000000000000001000000000000000101100101000000000000000000010101000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011110111010001101000000100000000000000000000010000000000000001011001010000000000000000000101010001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100011010000001000000000000000000000100000000000000010110010100000000000000000001010100010100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001011011101001110100000010000000000000000000001000000000000000101100101000000000000000000010101000101000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010110111010011101000000100000000000000000000010000000000000001011001010000000000000000000111010001010001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100111101110100111010000001000000000000000000000100000000000000010110010100000000000000000001110100010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101001110100000010000000000000000000001000000000000000101100101000000000000000000011101000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010001101000000000000000001000000000010000000000000001011001010000000000000000000111010001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100011010000000000000000010000000000100000000000000010110010100000000000000000001001100010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101000110100000000000000000100000000001000000000000000101100101000000000000000000010011000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010001101000000000000000001000000000010000000000000001011001010000000000000000000100110001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100111010000000000000000010000000000100000000000000010110010100000000000000000001001100010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101001110100000000000000000100000000001000000000000000101100101000000000000000000011011000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010011101000000000000000001000000000010000000000000001011001010000000000000000000110110001010001111000000001000000000100000000111111111010111000100111010110001101101001001000110110100100111101110100111010000000000000000010000000000100000000000000010110010100000000000000000001101100010100011110000000010000000001000000001111111110101110001001110101100011011010010010001101101001001011011101000110100000010000000000100000000001000000000000000101100101000000000000000000011011000101000111100000000100000000010000000011111111101011100010011101011000110110100100100011011010010010110111010001101000000100000000001000000000010000000000000001011001010000000000000000000101110001010001111000000001000000000100000000111111111010111000100111010110001101101001001000110110100100111101110100011010000001000000000010000000000100000000000000010110010100000000000000000001011100010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101000110100000010000000000100000000001000000000000000101100101000000000000000000010111000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010110111010011101000000100000000001000000000010000000000000001011001010000000000000000000101110001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100111010000001000000000010000000000100000000000000010110010100000000000000000001111100010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001111011101001110100000010000000000100000000001000000000000000101100101000000000000000000011111000101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010011101000000100000000001000000000010000000000000001011001010000000000000000000111110001010001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100101101110100011010000000000000000000000000000000000000001000010110010100000000000000000001111100010100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001011011101000110100000000000000000000000000000000000000010000101100101000000000000000000010000100101000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011110111010001101000000000000000000000000000000000000000100001011001010000000000000000000100001001010001111000000001000000000101000000111111111010011000110111010110001101101001001000110110100100111101110100011010000000000000000000000000000000000000001000010110010100000000000000000001000010010100011110000000010000000001010000001111111110100110001101110101100011011010010010001101101001001011011101001110100000000000000000000000000000000000000010000101100101000000000000000000010000100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000000000000000000000000000000000000100001011001010000000000000000000110001001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000000000000000000000000000000000000001000010110010100000000000000000001100010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000000000000000000000000000000000000010000101100101000000000000000000011000100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000100000000000000000000000000000000100001011001010000000000000000000110001001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000001000000000000000000000000000000001000010110010100000000000000000001010010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000010000000000000000000000000000000010000101100101000000000000000000010100100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010001101000000100000000000000000000000000000000100001011001010000000000000000000101001001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000001000000000000000000000000000000001000010110010100000000000000000001010010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000010000000000000000000000000000000010000101100101000000000000000000011100100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011110111010011101000000100000000000000000000000000000000100001011001010000000000000000000111001001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000001000000000000000000000000000000001000010110010100000000000000000001110010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101000110100000000000000000100000000000000000000010000101100101000000000000000000011100100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000000000000001000000000000000000000100001011001010000000000000000000100101001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000000000000000010000000000000000000001000010110010100000000000000000001001010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000000000000000100000000000000000000010000101100101000000000000000000010010100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000000000000001000000000000000000000100001011001010000000000000000000100101001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100111010000000000000000010000000000000000000001000010110010100000000000000000001101010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000000000000000100000000000000000000010000101100101000000000000000000011010100101000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010011110111010011101000000000000000001000000000000000000000100001011001010000000000000000000110101001010001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100101101110100011010000001000000000010000000000000000000001000010110010100000000000000000001101010010100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001011011101000110100000010000000000100000000000000000000010000101100101000000000000000000010110100101000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010011110111010001101000000100000000001000000000000000000000100001011001010000000000000000000101101001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100011010000001000000000010000000000000000000001000010110010100000000000000000001011010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001011011101001110100000010000000000100000000000000000000010000101100101000000000000000000010110100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010011101000000100000000001000000000000000000000100001011001010000000000000000000111101001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100111101110100111010000001000000000010000000000000000000001000010110010100000000000000000001111010010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101001110100000010000000000100000000000000000000010000101100101000000000000000000011110100101000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010110111010001101000000000000000000000000000010000000000100001011001010000000000000000000111101001010001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100101101110100011010000000000000000000000000000100000000001000010110010100000000000000000001000110010100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001111011101000110100000000000000000000000000001000000000010000101100101000000000000000000010001100101000111100000000100000000010100000011111111101000000000000000000000000010100100100011011010010011110111010001101000000000000000000000000000010000000000100001011001010000000000000000000100011001010001111000000001000000000101000000111111111010000000000000000000000000101001001000110110100100101101110100111010000000000000000000000000000100000000001000010110010100000000000000000001000110010100011110000000010000000001010000001111111110100000000000000000000111101010010010001101101001001011011101001110100000000000000000000000000001000000000010000101100101000000000000000000011001100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000000000000000000000000010000000000100001011001010000000000000000000110011001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000000000000000000000000000100000000001000010110010100000000000000000001100110010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000010000000000000000000001000000000010000101100101000000000000000000011001100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000100000000000000000000010000000000100001011001010000000000000000000101011001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000001000000000000000000000100000000001000010110010100000000000000000001010110010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101000110100000010000000000000000000001000000000010000101100101000000000000000000010101100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000100000000000000000000010000000000100001011001010000000000000000000101011001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000001000000000000000000000100000000001000010110010100000000000000000001110110010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001111011101001110100000010000000000000000000001000000000010000101100101000000000000000000011101100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000100000000000000000000010000000000100001011001010000000000000000000111011001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100011010000000000000000010000000000100000000001000010110010100000000000000000001110110010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000000000000000100000000001000000000010000101100101000000000000000000010011100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000000000000001000000000010000000000100001011001010000000000000000000100111001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000000000000000010000000000100000000001000010110010100000000000000000001001110010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000000000000000100000000001000000000010000101100101000000000000000000010011100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010011101000000000000000001000000000010000000000100001011001010000000000000000000110111001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000000000000000010000000000100000000001000010110010100000000000000000001101110010100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001111011101001110100000000000000000100000000001000000000010000101100101000000000000000000011011100101000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010010110111010001101000000100000000001000000000010000000000100001011001010000000000000000000110111001010001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100101101110100011010000001000000000010000000000100000000001000010110010100000000000000000001011110010100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001111011101000110100000010000000000100000000001000000000010000101100101000000000000000000010111100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010001101000000100000000001000000000010000000000100001011001010000000000000000000101111001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100101101110100111010000001000000000010000000000100000000001000010110010100000000000000000001011110010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101001110100000010000000000100000000001000000000010000101100101000000000000000000011111100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011110111010011101000000100000000001000000000010000000000100001011001010000000000000000000111111001010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100111010000001000000000010000000000100000000001000010110010100000000000000000001111110010100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001011011101000110100000000000000000000000000000000000000000000011100101000000000000000000011111100101000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010110111010001101000000000000000000000000000000000000000000000111001010000000000000000000100000101010001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100111101110100011010000000000000000000000000000000000000000000001110010100000000000000000001000001010100011110000000010000000001010000001111111110101000000000000000001010101010010010001101101001001111011101000110100000000000000000000000000000000000000000000011100101000000000000000000010000010101000111100000000100000000010100000011111111101010000000000000000010101010100100100011011010010010110111010011101000000000000000000000000000000000000000000000111001010000000000000000000100000101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000000000000000000000000000000000000000000001110010100000000000000000001100001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000000000000000000000000000000000000000000011100101000000000000000000011000010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000000000000000000000000000000000000000000111001010000000000000000000110000101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000001000000000000000000000000000000000000001110010100000000000000000001100001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000010000000000000000000000000000000000000011100101000000000000000000010100010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000100000000000000000000000000000000000000111001010000000000000000000101000101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100011010000001000000000000000000000000000000000000001110010100000000000000000001010001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000010000000000000000000000000000000000000011100101000000000000000000010100010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000100000000000000000000000000000000000000111001010000000000000000000111000101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100111101110100111010000001000000000000000000000000000000000000001110010100000000000000000001110001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000010000000000000000000000000000000000000011100101000000000000000000011100010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010001101000000000000000001000000000000000000000000000111001010000000000000000000111000101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000000000000000010000000000000000000000000001110010100000000000000000001001001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000000000000000100000000000000000000000000011100101000000000000000000010010010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000000000000001000000000000000000000000000111001010000000000000000000100100101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000000000000000010000000000000000000000000001110010100000000000000000001001001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101001110100000000000000000100000000000000000000000000011100101000000000000000000011010010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000000000000001000000000000000000000000000111001010000000000000000000110100101010001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100111101110100111010000000000000000010000000000000000000000000001110010100000000000000000001101001010100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001011011101000110100000010000000000100000000000000000000000000011100101000000000000000000011010010101000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010010110111010001101000000100000000001000000000000000000000000000111001010000000000000000000101100101010001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100111101110100011010000001000000000010000000000000000000000000001110010100000000000000000001011001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101000110100000010000000000100000000000000000000000000011100101000000000000000000010110010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010110111010011101000000100000000001000000000000000000000000000111001010000000000000000000101100101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100111010000001000000000010000000000000000000000000001110010100000000000000000001111001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001111011101001110100000010000000000100000000000000000000000000011100101000000000000000000011110010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010011101000000100000000001000000000000000000000000000111001010000000000000000000111100101010001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100101101110100011010000000000000000000000000000100000000000000001110010100000000000000000001111001010100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001011011101000110100000000000000000000000000001000000000000000011100101000000000000000000010001010101000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011110111010001101000000000000000000000000000010000000000000000111001010000000000000000000100010101010001111000000001000000000101000000111111111010100000011010101111000000101001001000110110100100111101110100011010000000000000000000000000000100000000000000001110010100000000000000000001000101010100011110000000010000000001010000001111111110101000000110101011110000001010010010001101101001001011011101001110100000000000000000000000000001000000000000000011100101000000000000000000010001010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010110111010011101000000000000000000000000000010000000000000000111001010000000000000000000110010101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100111010000000000000000000000000000100000000000000001110010100000000000000000001100101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101001110100000000000000000000000000001000000000000000011100101000000000000000000011001010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010110111010001101000000100000000000000000000010000000000000000111001010000000000000000000110010101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100101101110100011010000001000000000000000000000100000000000000001110010100000000000000000001010101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001111011101000110100000010000000000000000000001000000000000000011100101000000000000000000010101010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010001101000000100000000000000000000010000000000000000111001010000000000000000000101010101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100101101110100111010000001000000000000000000000100000000000000001110010100000000000000000001010101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001011011101001110100000010000000000000000000001000000000000000011100101000000000000000000011101010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011110111010011101000000100000000000000000000010000000000000000111001010000000000000000000111010101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100111101110100111010000001000000000000000000000100000000000000001110010100000000000000000001110101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101000110100000000000000000100000000001000000000000000011100101000000000000000000011101010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010001101000000000000000001000000000010000000000000000111001010000000000000000000100110101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100011010000000000000000010000000000100000000000000001110010100000000000000000001001101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101000110100000000000000000100000000001000000000000000011100101000000000000000000010011010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010011101000000000000000001000000000010000000000000000111001010000000000000000000100110101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100111010000000000000000010000000000100000000000000001110010100000000000000000001101101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101001110100000000000000000100000000001000000000000000011100101000000000000000000011011010101000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010111110111010011101000000000000000001000000000010000000000000000111001010000000000000000000110110101010001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101101101110100011010000001000000000010000000000100000000000000001110010100000000000000000001101101010100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011011011101000110100000010000000000100000000001000000000000000011100101000000000000000000010111010101000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010111110111010001101000000100000000001000000000010000000000000000111001010000000000000000000101110101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100011010000001000000000010000000000100000000000000001110010100000000000000000001011101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011011011101001110100000010000000000100000000001000000000000000011100101000000000000000000010111010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010011101000000100000000001000000000010000000000000000111001010000000000000000000111110101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101111101110100111010000001000000000010000000000100000000000000001110010100000000000000000001111101010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101001110100000010000000000100000000001000000000000000011100101000000000000000000011111010101000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110110111010001101000000000000000000000000000000000000000100000111001010000000000000000000111110101010001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101101101110100011010000000000000000000000000000000000000001000001110010100000000000000000001000011010100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011111011101000110100000000000000000000000000000000000000010000011100101000000000000000000010000110101000111100000000100000000010100000011111111101011100011011101011111111110100101100011011010010111110111010001101000000000000000000000000000000000000000100000111001010000000000000000000100001101010001111000000001000000000101000000111111111010111000110111010111111111101001011000110110100101101101110100111010000000000000000000000000000000000000001000001110010100000000000000000001000011010100011110000000010000000001010000001111111110101110001101110101000000001010010110001101101001011011011101001110100000000000000000000000000000000000000010000011100101000000000000000000011000110101000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111110111010011101000000000000000000000000000000000000000100000111001010000000000000000000110001101010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101111101110100111010000000000000000000000000000000000000001000001110010100000000000000000001100011010100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011011011101000110100000010000000000000000000000000000000010000011100101000000000000000000011000110101000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110110111010001101000000100000000000000000000000000000000100000111001010000000000000000000101001101010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101111101110100011010000001000000000000000000000000000000001000001110010100000000000000000001010011010100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011111011101000110100000010000000000000000000000000000000010000011100101000000000000000000010100110101000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110110111010011101000000100000000000000000000000000000000100000111001010000000000000000000101001101010001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101101101110100111010000001000000000000000000000000000000001000001110010100000000000000000001110011010100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011111011101001110100000010000000000000000000000000000000010000011100101000000000000000000011100110101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010011101000000100000000000000000000000000000000100000111001010000000000000000000111001101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100011010000000000000000010000000000000000000001000001110010100000000000000000001110011010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101000110100000000000000000100000000000000000000010000011100101000000000000000000010010110101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010001101000000000000000001000000000000000000000100000111001010000000000000000000100101101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100011010000000000000000010000000000000000000001000001110010100000000000000000001001011010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101001110100000000000000000100000000000000000000010000011100101000000000000000000010010110101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010011101000000000000000001000000000000000000000100000111001010000000000000000000110101101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100111010000000000000000010000000000000000000001000001110010100000000000000000001101011010100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011111011101001110100000000000000000100000000000000000000010000011100101000000000000000000011010110101000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010110110111010001101000000100000000001000000000000000000000100000111001010000000000000000000110101101010001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101101101110100011010000001000000000010000000000000000000001000001110010100000000000000000001011011010100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011111011101000110100000010000000000100000000000000000000010000011100101000000000000000000010110110101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010001101000000100000000001000000000000000000000100000111001010000000000000000000101101101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101101101110100111010000001000000000010000000000000000000001000001110010100000000000000000001011011010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101001110100000010000000000100000000000000000000010000011100101000000000000000000011110110101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010111110111010011101000000100000000001000000000000000000000100000111001010000000000000000000111101101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100111010000001000000000010000000000000000000001000001110010100000000000000000001111011010100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011011011101000110100000000000000000000000000001000000000010000011100101000000000000000000011110110101000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110110111010001101000000000000000000000000000010000000000100000111001010000000000000000000100011101010001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101111101110100011010000000000000000000000000000100000000001000001110010100000000000000000001000111010100011110000000010000000001010000001111111110100110001011110101101100011010010110110001101001011111011101000110100000000000000000000000000001000000000010000011100101000000000000000000010001110101000111100000000100000000010100000011111111101001100010111101011011000110100101101100011010010110110111010011101000000000000000000000000000010000000000100000111001010000000000000000000100011101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000000000000000000000000000100000000001000001110010100000000000000000001100111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000000000000000000000000001000000000010000011100101000000000000000000011001110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000000000000000000000000010000000000100000111001010000000000000000000110011101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000001000000000000000000000100000000001000001110010100000000000000000001100111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000010000000000000000000001000000000010000011100101000000000000000000010101110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000100000000000000000000010000000000100000111001010000000000000000000101011101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100011010000001000000000000000000000100000000001000001110010100000000000000000001010111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000010000000000000000000001000000000010000011100101000000000000000000010101110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000100000000000000000000010000000000100000111001010000000000000000000111011101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101111101110100111010000001000000000000000000000100000000001000001110010100000000000000000001110111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000010000000000000000000001000000000010000011100101000000000000000000011101110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010001101000000000000000001000000000010000000000100000111001010000000000000000000111011101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000000000000000010000000000100000000001000001110010100000000000000000001001111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000000000000000100000000001000000000010000011100101000000000000000000010011110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000000000000001000000000010000000000100000111001010000000000000000000100111101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000000000000000010000000000100000000001000001110010100000000000000000001001111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101001110100000000000000000100000000001000000000010000011100101000000000000000000011011110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000000000000001000000000010000000000100000111001010000000000000000000110111101010001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101111101110100111010000000000000000010000000000100000000001000001110010100000000000000000001101111010100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011011011101000110100000010000000000100000000001000000000010000011100101000000000000000000011011110101000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010110110111010001101000000100000000001000000000010000000000100000111001010000000000000000000101111101010001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101111101110100011010000001000000000010000000000100000000001000001110010100000000000000000001011111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101000110100000010000000000100000000001000000000010000011100101000000000000000000010111110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110110111010011101000000100000000001000000000010000000000100000111001010000000000000000000101111101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100111010000001000000000010000000000100000000001000001110010100000000000000000001111111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011111011101001110100000010000000000100000000001000000000010000011100101000000000000000000011111110101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010011101000000100000000001000000000010000000000100000111001010000000000000000000111111101010001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101101101110100011010000000000000000000000000000000000000000000011110010100000000000000000001111111010100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011011011101000110100000000000000000000000000000000000000000000111100101000000000000000000010000001101000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111110111010001101000000000000000000000000000000000000000000001111001010000000000000000000100000011010001111000000001000000000101000000111111111010111000101111010111100000101001011011000110100101111101110100011010000000000000000000000000000000000000000000011110010100000000000000000001000000110100011110000000010000000001010000001111111110101110001011110101111000001010010110110001101001011011011101001110100000000000000000000000000000000000000000000111100101000000000000000000010000001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000000000000000000000000000000000000000001111001010000000000000000000110000011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000000000000000000000000000000000000000000011110010100000000000000000001100000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000000000000000000000000000000000000000000111100101000000000000000000011000001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000100000000000000000000000000000000000001111001010000000000000000000110000011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000001000000000000000000000000000000000000011110010100000000000000000001010000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000010000000000000000000000000000000000000111100101000000000000000000010100001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010001101000000100000000000000000000000000000000000001111001010000000000000000000101000011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000001000000000000000000000000000000000000011110010100000000000000000001010000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000010000000000000000000000000000000000000111100101000000000000000000011100001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111110111010011101000000100000000000000000000000000000000000001111001010000000000000000000111000011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000001000000000000000000000000000000000000011110010100000000000000000001110000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101000110100000000000000000100000000000000000000000000111100101000000000000000000011100001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000000000000001000000000000000000000000001111001010000000000000000000100100011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000000000000000010000000000000000000000000011110010100000000000000000001001000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000000000000000100000000000000000000000000111100101000000000000000000010010001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000000000000001000000000000000000000000001111001010000000000000000000100100011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100111010000000000000000010000000000000000000000000011110010100000000000000000001101000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000000000000000100000000000000000000000000111100101000000000000000000011010001101000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010111110111010011101000000000000000001000000000000000000000000001111001010000000000000000000110100011010001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101101101110100011010000001000000000010000000000000000000000000011110010100000000000000000001101000110100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011011011101000110100000010000000000100000000000000000000000000111100101000000000000000000010110001101000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010111110111010001101000000100000000001000000000000000000000000001111001010000000000000000000101100011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100011010000001000000000010000000000000000000000000011110010100000000000000000001011000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011011011101001110100000010000000000100000000000000000000000000111100101000000000000000000010110001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010011101000000100000000001000000000000000000000000001111001010000000000000000000111100011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101111101110100111010000001000000000010000000000000000000000000011110010100000000000000000001111000110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101001110100000010000000000100000000000000000000000000111100101000000000000000000011110001101000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110110111010001101000000000000000000000000000010000000000000001111001010000000000000000000111100011010001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101101101110100011010000000000000000000000000000100000000000000011110010100000000000000000001000100110100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011111011101000110100000000000000000000000000001000000000000000111100101000000000000000000010001001101000111100000000100000000010100000011111111101010001001100000000000010011111111101100011010010111110111010001101000000000000000000000000000010000000000000001111001010000000000000000000100010011010001111000000001000000000101000000111111111110100010011000000000000100111111111011000110100101101101110100111010000000000000000000000000000100000000000000011110010100000000000000000001000100110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101001110100000000000000000000000000001000000000000000111100101000000000000000000011001001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000000000000000000000000010000000000000001111001010000000000000000000110010011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000000000000000000000000000100000000000000011110010100000000000000000001100100110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000010000000000000000000001000000000000000111100101000000000000000000011001001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000100000000000000000000010000000000000001111001010000000000000000000101010011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100011010000001000000000000000000000100000000000000011110010100000000000000000001010100110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101000110100000010000000000000000000001000000000000000111100101000000000000000000010101001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010011101000000100000000000000000000010000000000000001111001010000000000000000000101010011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100111010000001000000000000000000000100000000000000011110010100000000000000000001110100110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011111011101001110100000010000000000000000000001000000000000000111100101000000000000000000011101001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000100000000000000000000010000000000000001111001010000000000000000000111010011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100011010000000000000000010000000000100000000000000011110010100000000000000000001110100110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000000000000000100000000001000000000000000111100101000000000000000000010011001101000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010111110111010001101000000000000000001000000000010000000000000001111001010000000000000000000100110011010001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101111101110100011010000000000000000010000000000100000000000000011110010100000000000000000001001100110100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011011011101001110100000000000000000100000000001000000000000000111100101000000000000000000010011001101000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010110110111010011101000000000000000001000000000010000000000000001111001010000000000000000000110110011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000000000000000010000000000100000000000000011110010100000000000000000001101100110100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011111011101001110100000000000000000100000000001000000000000000111100101000000000000000000011011001101000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010110110111010001101000000100000000001000000000010000000000000001111001010000000000000000000110110011010001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101101101110100011010000001000000000010000000000100000000000000011110010100000000000000000001011100110100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011111011101000110100000010000000000100000000001000000000000000111100101000000000000000000010111001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010001101000000100000000001000000000010000000000000001111001010000000000000000000101110011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101101101110100111010000001000000000010000000000100000000000000011110010100000000000000000001011100110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101001110100000010000000000100000000001000000000000000111100101000000000000000000011111001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111110111010011101000000100000000001000000000010000000000000001111001010000000000000000000111110011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100111010000001000000000010000000000100000000000000011110010100000000000000000001111100110100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011011011101000110100000000000000000000000000000000000000010000111100101000000000000000000011111001101000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110110111010001101000000000000000000000000000000000000000100001111001010000000000000000000100001011010001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101111101110100011010000000000000000000000000000000000000001000011110010100000000000000000001000010110100011110000000010000000001010000001111111111100110001111110101111111111010010110110001101001011111011101000110100000000000000000000000000000000000000010000111100101000000000000000000010000101101000111100000000100000000010100000011111111101001100011111101011111111110100101101100011010010110110111010011101000000000000000000000000000000000000000100001111001010000000000000000000100001011010001111000000001000000000101000000111111111010011000111111010111111111101001011011000110100101101101110100111010000000000000000000000000000000000000001000011110010100000000000000000001100010110100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011111011101001110100000000000000000000000000000000000000010000111100101000000000000000000011000101101000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111110111010011101000000000000000000000000000000000000000100001111001010000000000000000000110001011010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101101101110100011010000001000000000000000000000000000000001000011110010100000000000000000001100010110100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011011011101000110100000010000000000000000000000000000000010000111100101000000000000000000010100101101000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111110111010001101000000100000000000000000000000000000000100001111001010000000000000000000101001011010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101111101110100011010000001000000000000000000000000000000001000011110010100000000000000000001010010110100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011011011101001110100000010000000000000000000000000000000010000111100101000000000000000000010100101101000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110110111010011101000000100000000000000000000000000000000100001111001010000000000000000000111001011010001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101111101110100111010000001000000000000000000000000000000001000011110010100000000000000000001110010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000010000000000000000000000000000000010000111100101000000000000000000011100101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000000000000001000000000000000000000100001111001010000000000000000000111001011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000000000000000010000000000000000000001000011110010100000000000000000001001010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000000000000000100000000000000000000010000111100101000000000000000000010010101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000000000000001000000000000000000000100001111001010000000000000000000100101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000000000000000010000000000000000000001000011110010100000000000000000001001010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000000000000000100000000000000000000010000111100101000000000000000000011010101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000000000000001000000000000000000000100001111001010000000000000000000110101011010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101111101110100111010000000000000000010000000000000000000001000011110010100000000000000000001101010110100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011011011101000110100000010000000000100000000000000000000010000111100101000000000000000000011010101101000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010110110111010001101000000100000000001000000000000000000000100001111001010000000000000000000101101011010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101111101110100011010000001000000000010000000000000000000001000011110010100000000000000000001011010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000010000000000100000000000000000000010000111100101000000000000000000010110101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000100000000001000000000000000000000100001111001010000000000000000000101101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000001000000000010000000000000000000001000011110010100000000000000000001111010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000010000000000100000000000000000000010000111100101000000000000000000011110101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000100000000001000000000000000000000100001111001010000000000000000000111101011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000000000000000000000000000100000000001000011110010100000000000000000001111010110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101000110100000000000000000000000000001000000000010000111100101000000000000000000010001101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000000000000000000000000010000000000100001111001010000000000000000000100011011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100011010000000000000000000000000000100000000001000011110010100000000000000000001000110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000000000000000000000000001000000000010000111100101000000000000000000010001101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010011101000000000000000000000000000010000000000100001111001010000000000000000000110011011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000000000000000000000000000100000000001000011110010100000000000000000001100110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101001110100000000000000000000000000001000000000010000111100101000000000000000000011001101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110110111010001101000000100000000000000000000010000000000100001111001010000000000000000000110011011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100011010000001000000000000000000000100000000001000011110010100000000000000000001010110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011111011101000110100000010000000000000000000001000000000010000111100101000000000000000000010101101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010001101000000100000000000000000000010000000000100001111001010000000000000000000101011011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101101101110100111010000001000000000000000000000100000000001000011110010100000000000000000001010110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011011011101001110100000010000000000000000000001000000000010000111100101000000000000000000011101101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111110111010011101000000100000000000000000000010000000000100001111001010000000000000000000111011011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101111101110100111010000001000000000000000000000100000000001000011110010100000000000000000001110110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101000110100000000000000000100000000001000000000010000111100101000000000000000000011101101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010001101000000000000000001000000000010000000000100001111001010000000000000000000100111011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100011010000000000000000010000000000100000000001000011110010100000000000000000001001110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101000110100000000000000000100000000001000000000010000111100101000000000000000000010011101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010011101000000000000000001000000000010000000000100001111001010000000000000000000100111011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100101101110100111010000000000000000010000000000100000000001000011110010100000000000000000001101110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101001110100000000000000000100000000001000000000010000111100101000000000000000000011011101101000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010011110111010011101000000000000000001000000000010000000000100001111001010000000000000000000110111011010001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100101101110100011010000001000000000010000000000100000000001000011110010100000000000000000001101110110100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001011011101000110100000010000000000100000000001000000000010000111100101000000000000000000010111101101000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010011110111010001101000000100000000001000000000010000000000100001111001010000000000000000000101111011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100011010000001000000000010000000000100000000001000011110010100000000000000000001011110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001011011101001110100000010000000000100000000001000000000010000111100101000000000000000000010111101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010110111010011101000000100000000001000000000010000000000100001111001010000000000000000000111111011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100111101110100111010000001000000000010000000000100000000001000011110010100000000000000000001111110110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001111011101001110100000010000000000100000000001000000000010000111100101000000000000000000011111101101000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010001111010001101000000000000000000000000000000000000000000000000001010000000000000000000111111011010001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100100011110100011010000000000000000000000000000000000000000000000000010100000000000000000001000001110100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001100111101000110100000000000000000000000000000000000000000000000000101000000000000000000010000011101000111100000000100000000010100000011111111101011100011111101010101001110100100010100111010010011001111010001101000000000000000000000000000000000000000000000000001010000000000000000000100000111010001111000000001000000000101000000111111111010111000111111010101010011101001000101001110100100100011110100111010000000000000000000000000000000000000000000000000010100000000000000000001000001110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001000111101001110100000000000000000000000000000000000000000000000000101000000000000000000011000011101000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011001111010011101000000000000000000000000000000000000000000000000001010000000000000000000110000111010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100110011110100111010000000000000000000000000000000000000000000000000010100000000000000000001100001110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001000111101000110100000010000000000000000000000000000000000000000000101000000000000000000011000011101000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010001111010001101000000100000000000000000000000000000000000000000001010000000000000000000101000111010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100110011110100011010000001000000000000000000000000000000000000000000010100000000000000000001010001110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001100111101000110100000010000000000000000000000000000000000000000000101000000000000000000010100011101000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010001111010011101000000100000000000000000000000000000000000000000001010000000000000000000101000111010001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100100011110100111010000001000000000000000000000000000000000000000000010100000000000000000001110001110100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001100111101001110100000010000000000000000000000000000000000000000000101000000000000000000011100011101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011001111010011101000000100000000000000000000000000000000000000000001010000000000000000000111000111010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100011110100011010000000000000000010000000000000000000000000000000010100000000000000000001110001110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001000111101000110100000000000000000100000000000000000000000000000000101000000000000000000010010011101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011001111010001101000000000000000001000000000000000000000000000000001010000000000000000000100100111010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100011010000000000000000010000000000000000000000000000000010100000000000000000001001001110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001000111101001110100000000000000000100000000000000000000000000000000101000000000000000000010010011101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010001111010011101000000000000000001000000000000000000000000000000001010000000000000000000110100111010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100111010000000000000000010000000000000000000000000000000010100000000000000000001101001110100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001100111101001110100000000000000000100000000000000000000000000000000101000000000000000000011010011101000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010010001111010001101000000100000000001000000000000000000000000000000001010000000000000000000110100111010001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100100011110100011010000001000000000010000000000000000000000000000000010100000000000000000001011001110100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001100111101000110100000010000000000100000000000000000000000000000000101000000000000000000010110011101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011001111010001101000000100000000001000000000000000000000000000000001010000000000000000000101100111010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100011110100111010000001000000000010000000000000000000000000000000010100000000000000000001011001110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001000111101001110100000010000000000100000000000000000000000000000000101000000000000000000011110011101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010011001111010011101000000100000000001000000000000000000000000000000001010000000000000000000111100111010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100111010000001000000000010000000000000000000000000000000010100000000000000000001111001110100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001000111101000110100000000000000000000000000001000000000000000000000101000000000000000000011110011101000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010001111010001101000000000000000000000000000010000000000000000000001010000000000000000000100010111010001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100011010000000000000000000000000000100000000000000000000010100000000000000000001000101110100011110000000010000000001010000001111111110100110001000001101000010111010010000001011101001001100111101000110100000000000000000000000000001000000000000000000000101000000000000000000010001011101000111100000000100000000010100000011111111101001100010000011010000101110100100000010111010010010001111010011101000000000000000000000000000010000000000000000000001010000000000000000000100010111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000000000000000000000000000100000000000000000000010100000000000000000001100101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000000000000000000000000001000000000000000000000101000000000000000000011001011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000000000000000000000000010000000000000000000001010000000000000000000110010111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000001000000000000000000000100000000000000000000010100000000000000000001100101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000010000000000000000000001000000000000000000000101000000000000000000010101011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000100000000000000000000010000000000000000000001010000000000000000000101010111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000001000000000000000000000100000000000000000000010100000000000000000001010101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000010000000000000000000001000000000000000000000101000000000000000000010101011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000100000000000000000000010000000000000000000001010000000000000000000111010111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000001000000000000000000000100000000000000000000010100000000000000000001110101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000010000000000000000000001000000000000000000000101000000000000000000011101011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010001101000000000000000001000000000010000000000000000000001010000000000000000000111010111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000000000000000010000000000100000000000000000000010100000000000000000001001101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000000000000000100000000001000000000000000000000101000000000000000000010011011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000000000000001000000000010000000000000000000001010000000000000000000100110111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000000000000000010000000000100000000000000000000010100000000000000000001001101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000000000000000100000000001000000000000000000000101000000000000000000011011011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000000000000001000000000010000000000000000000001010000000000000000000110110111010001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100110011110100111010000000000000000010000000000100000000000000000000010100000000000000000001101101110100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001000111101000110100000010000000000100000000001000000000000000000000101000000000000000000011011011101000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010010001111010001101000000100000000001000000000010000000000000000000001010000000000000000000101110111010001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100110011110100011010000001000000000010000000000100000000000000000000010100000000000000000001011101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000010000000000100000000001000000000000000000000101000000000000000000010111011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000100000000001000000000010000000000000000000001010000000000000000000101110111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000001000000000010000000000100000000000000000000010100000000000000000001111101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000010000000000100000000001000000000000000000000101000000000000000000011111011101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000100000000001000000000010000000000000000000001010000000000000000000111110111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000000000000000000000000000000000000001000000000010100000000000000000001111101110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000000000000000000000000000000000000010000000000101000000000000000000010000111101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000000000000000000000000000000000000100000000001010000000000000000000100001111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000000000000000000000000000000000000001000000000010100000000000000000001000011110100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000000000000000000000000000000000000010000000000101000000000000000000010000111101000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000000000000000000000000000000000000100000000001010000000000000000000110001111010001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000000000000000000000000000000000000001000000000010100000000000000000001100011110100011110000000010000000001010000001111111110100110001110110101101011111010010000001011101001001100111101001110100000000000000000000000000000000000000010000000000101000000000000000000011000111101000111100000000100000000010100000011111111101001100011101101011010111110100100000010111010010010001111010001101000000100000000000000000000000000000000100000000001010000000000000000000110001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000001000000000000000000000000000000001000000000010100000000000000000001010011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000000000000000000000000010000000000101000000000000000000010100111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000000000000000000000000000100000000001010000000000000000000101001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000000000000000000000000001000000000010100000000000000000001010011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000000000000000000000000010000000000101000000000000000000011100111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000100000000000000000000000000000000100000000001010000000000000000000111001111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000001000000000000000000000000000000001000000000010100000000000000000001110011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000000000000000100000000000000000000010000000000101000000000000000000011100111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000000000000001000000000000000000000100000000001010000000000000000000100101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000000000000000010000000000000000000001000000000010100000000000000000001001011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000000000000000100000000000000000000010000000000101000000000000000000010010111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000000000000001000000000000000000000100000000001010000000000000000000100101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000000000000000010000000000000000000001000000000010100000000000000000001101011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000000000000000100000000000000000000010000000000101000000000000000000011010111101000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010011001111010011101000000000000000001000000000000000000000100000000001010000000000000000000110101111010001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100100011110100011010000001000000000010000000000000000000001000000000010100000000000000000001101011110100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001000111101000110100000010000000000100000000000000000000010000000000101000000000000000000010110111101000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000001000000000000000000000100000000001010000000000000000000101101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000010000000000000000000001000000000010100000000000000000001011011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000100000000000000000000010000000000101000000000000000000010110111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000001000000000000000000000100000000001010000000000000000000111101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000001000000000010000000000000000000001000000000010100000000000000000001111011110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000010000000000100000000000000000000010000000000101000000000000000000011110111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000000000000000000000000010000000000100000000001010000000000000000000111101111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000000000000000000000000000100000000001000000000010100000000000000000001000111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000000000000000000000000001000000000010000000000101000000000000000000010001111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000000000000000000000000010000000000100000000001010000000000000000000100011111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000000000000000000000000000100000000001000000000010100000000000000000001000111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000000000000000000000000001000000000010000000000101000000000000000000011001111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000000000000000000000000010000000000100000000001010000000000000000000110011111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000000000000000000000000000100000000001000000000010100000000000000000001100111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000010000000000000000000001000000000010000000000101000000000000000000011001111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000100000000000000000000010000000000100000000001010000000000000000000101011111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000000000000000100000000001000000000010100000000000000000001010111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000000000000001000000000010000000000101000000000000000000010101111101000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000000000000000010000000000100000000001010000000000000000000101011111010001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000000000000000100000000001000000000010100000000000000000001110111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000010000000000000000000001000000000010000000000101000000000000000000011101111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011001111010011101000000100000000000000000000010000000000100000000001010000000000000000000111011111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100100011110100011010000000000000000010000000000100000000001000000000010100000000000000000001110111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001000111101000110100000000000000000100000000001000000000010000000000101000000000000000000010011111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011001111010001101000000000000000001000000000010000000000100000000001010000000000000000000100111111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100011010000000000000000010000000000100000000001000000000010100000000000000000001001111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001000111101001110100000000000000000100000000001000000000010000000000101000000000000000000010011111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010001111010011101000000000000000001000000000010000000000100000000001010000000000000000000110111111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100111010000000000000000010000000000100000000001000000000010100000000000000000001101111110100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001100111101001110100000000000000000100000000001000000000010000000000101000000000000000000011011111101000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010010001111010001101000000100000000001000000000010000000000100000000001010000000000000000000110111111010001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100100011110100011010000001000000000010000000000100000000001000000000010100000000000000000001011111110100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001100111101000110100000010000000000100000000001000000000010000000000101000000000000000000010111111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011001111010001101000000100000000001000000000010000000000100000000001010000000000000000000101111111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100100011110100111010000001000000000010000000000100000000001000000000010100000000000000000001011111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001000111101001110100000010000000000100000000001000000000010000000000101000000000000000000011111111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010011001111010011101000000100000000001000000000010000000000100000000001010000000000000000000111111111010001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100111010000001000000000010000000000100000000001000000000010100000000000000000001111111110100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001000111101000110100000000000000000000000000000000000000000000100000101000000000000000000011111111101000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010001111010001101000000000000000000000000000000000000000000001000001010000000000000000000100000000110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100011010000000000000000000000000000000000000000000010000010100000000000000000001000000001100011110000000010000000001010000001111111110101110001110110101000011011010010000001101101001001100111101000110100000000000000000000000000000000000000000000100000101000000000000000000010000000011000111100000000100000000010100000011111111101011100011101101010000110110100100000011011010010010001111010011101000000000000000000000000000000000000000000001000001010000000000000000000100000000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000000000000000000000000000000000000000000010000010100000000000000000001100000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000000000000000000000000000000000000000000100000101000000000000000000011000000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000000000000000000000000000000000000000001000001010000000000000000000110000000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000001000000000000000000000000000000000000010000010100000000000000000001100000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000010000000000000000000000000000000000000100000101000000000000000000010100000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000100000000000000000000000000000000000001000001010000000000000000000101000000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000001000000000000000000000000000000000000010000010100000000000000000001010000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000010000000000000000000000000000000000000100000101000000000000000000010100000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000100000000000000000000000000000000000001000001010000000000000000000111000000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000001000000000000000000000000000000000000010000010100000000000000000001110000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000010000000000000000000000000000000000000100000101000000000000000000011100000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010001101000000000000000001000000000000000000000000001000001010000000000000000000111000000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000000000000000010000000000000000000000000010000010100000000000000000001001000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000000000000000100000000000000000000000000100000101000000000000000000010010000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000000000000001000000000000000000000000001000001010000000000000000000100100000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000000000000000010000000000000000000000000010000010100000000000000000001001000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000000000000000100000000000000000000000000100000101000000000000000000011010000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000000000000001000000000000000000000000001000001010000000000000000000110100000110001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100110011110100111010000000000000000010000000000000000000000000010000010100000000000000000001101000001100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001000111101000110100000010000000000100000000000000000000000000100000101000000000000000000011010000011000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010010001111010001101000000100000000001000000000000000000000000001000001010000000000000000000101100000110001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100110011110100011010000001000000000010000000000000000000000000010000010100000000000000000001011000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000010000000000100000000000000000000000000100000101000000000000000000010110000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000100000000001000000000000000000000000001000001010000000000000000000101100000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000001000000000010000000000000000000000000010000010100000000000000000001111000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000010000000000100000000000000000000000000100000101000000000000000000011110000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000100000000001000000000000000000000000001000001010000000000000000000111100000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000000000000000000000000000100000000000000010000010100000000000000000001111000001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000000000000000000000000001000000000000000100000101000000000000000000010001000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000000000000000000000000010000000000000001000001010000000000000000000100010000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000000000000000000000000000100000000000000010000010100000000000000000001000100001100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000000000000000000000000001000000000000000100000101000000000000000000010001000011000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000000000000000000000000010000000000000001000001010000000000000000000110010000110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000000000000000000000000000100000000000000010000010100000000000000000001100100001100011110000000010000000001010000001111111110101110001001110101100000001010010000001101101001001100111101001110100000000000000000000000000001000000000000000100000101000000000000000000011001000011000111100000000100000000010100000011111111101011100010011101011000000010100100000011011010010010001111010001101000000100000000000000000000010000000000000001000001010000000000000000000110010000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000001000000000000000000000100000000000000010000010100000000000000000001010100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000000000000001000000000000000100000101000000000000000000010101000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000000000000000010000000000000001000001010000000000000000000101010000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000000000000000100000000000000010000010100000000000000000001010100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000000000000001000000000000000100000101000000000000000000011101000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000100000000000000000000010000000000000001000001010000000000000000000111010000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000001000000000000000000000100000000000000010000010100000000000000000001110100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000000000000000100000000001000000000000000100000101000000000000000000011101000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000000000000001000000000010000000000000001000001010000000000000000000100110000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000000000000000010000000000100000000000000010000010100000000000000000001001100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000000000000000100000000001000000000000000100000101000000000000000000010011000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000000000000001000000000010000000000000001000001010000000000000000000100110000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000000000000000010000000000100000000000000010000010100000000000000000001101100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000000000000000100000000001000000000000000100000101000000000000000000011011000011000111100000000100000000010000000011111111101011100010011101011000110110100100000011011010010011001111010011101000000000000000001000000000010000000000000001000001010000000000000000000110110000110001111000000001000000000100000000111111111010111000100111010110001101101001000000110110100100100011110100011010000001000000000010000000000100000000000000010000010100000000000000000001101100001100011110000000010000000001000000001111111110101110001001110101100011011010010000001101101001001000111101000110100000010000000000100000000001000000000000000100000101000000000000000000010111000011000111100000000100000000010000000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000001000000000010000000000000001000001010000000000000000000101110000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000010000000000100000000000000010000010100000000000000000001011100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000100000000001000000000000000100000101000000000000000000010111000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000001000000000010000000000000001000001010000000000000000000111110000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000001000000000010000000000100000000000000010000010100000000000000000001111100001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000010000000000100000000001000000000000000100000101000000000000000000011111000011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000000000000000000000000000000000000100001000001010000000000000000000111110000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000000000000000000000000000000000000001000010000010100000000000000000001000010001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000000000000000000000000000000000000010000100000101000000000000000000010000100011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000000000000000000000000000000000000100001000001010000000000000000000100001000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000000000000000000000000000000000000001000010000010100000000000000000001000010001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000000000000000000000000000000000000010000100000101000000000000000000011000100011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000000000000000000000000000000000000100001000001010000000000000000000110001000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000000000000000000000000000000000000001000010000010100000000000000000001100010001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000010000000000000000000000000000000010000100000101000000000000000000011000100011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000100000000000000000000000000000000100001000001010000000000000000000101001000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000000000000000000000000001000010000010100000000000000000001010010001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000000000000000000000000010000100000101000000000000000000010100100011000111100000000100000000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000000000000000000000000000100001000001010000000000000000000101001000110001111000000001000000000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000000000000000000000000001000010000010100000000000000000001110010001100011110000000010000000001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000010000000000000000000000000000000010000100000101000000000000000000011100100011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011001111010011101000000100000000000000000000000000000000100001000001010000000000000000000111001000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100100011110100011010000000000000000010000000000000000000001000010000010100000000000000000001110010001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001000111101000110100000000000000000100000000000000000000010000100000101000000000000000000010010100011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011001111010001101000000000000000001000000000000000000000100001000001010000000000000000000100101000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100110011110100011010000000000000000010000000000000000000001000010000010100000000000000000001001010001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001000111101001110100000000000000000100000000000000000000010000100000101000000000000000000010010100011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010001111010011101000000000000000001000000000000000000000100001000001010000000000000000000110101000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100110011110100111010000000000000000010000000000000000000001000010000010100000000000000000001101010001100011110000000010000000001000000001111111110101110001001110101100011011010010010001101101001001100111101001110100000000000000000100000000000000000000010000100000101000000000000000000011010100011000111100000000100000000010000000011111111101011100010011101011000110110100100100011011010010010001111010001101000000100000000001000000000000000000000100001000001010000000000000000000110101000110001111000000001000000000100000000111111111010111000100111010110001101101001001000110110100100100011110100011010000001000000000010000000000000000000001000010000010100000000000000000001011010001100011110000000010000000001000000001111111110101110001001110101100011011010010010001101101001001100111101000110100000010000000000100000000000000000000010000100000101000000000000000000010110100011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011001111010001101000000100000000001000000000000000000000100001000001010000000000000000000101101000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100100011110100111010000001000000000010000000000000000000001000010000010100000000000000000001011010001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001000111101001110100000010000000000100000000000000000000010000100000101000000000000000000011110100011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010011001111010011101000000100000000001000000000000000000000100001000001010000000000000000000111101000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100110011110100111010000001000000000010000000000000000000001000010000010100000000000000000001111010001100011110000000010000000001010000001111111110101110001001110101100011011010010010001101101001001000111101000110100000000000000000000000000001000000000010000100000101000000000000000000011110100011000111100000000100000000010100000011111111101011100010011101011000110110100100100011011010010010001111010001101000000000000000000000000000010000000000100001000001010000000000000000000100011000110001111000000001000000000101000000111111111010111000100111010110001101101001001000110110100100110011110100011010000000000000000000000000000100000000001000010000010100000000000000000001000110001100011110000000010000000001010000001111111110100110001101110101100011011010010010001101101001001100111101000110100000000000000000000000000001000000000010000100000101000000000000000000010001100011000111100000000100000000010100000011111111101001100011011101011000110110100100100011011010010010001111010011101000000000000000000000000000010000000000100001000001010000000000000000000100011000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000000000000000000000000000100000000001000010000010100000000000000000001100110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000000000000000000000000001000000000010000100000101000000000000000000011001100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000000000000000000000000010000000000100001000001010000000000000000000110011000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000001000000000000000000000100000000001000010000010100000000000000000001100110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000010000000000000000000001000000000010000100000101000000000000000000010101100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000100000000000000000000010000000000100001000001010000000000000000000101011000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110011110100011010000001000000000000000000000100000000001000010000010100000000000000000001010110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000010000000000000000000001000000000010000100000101000000000000000000010101100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000100000000000000000000010000000000100001000001010000000000000000000111011000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000001000000000000000000000100000000001000010000010100000000000000000001110110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000010000000000000000000001000000000010000100000101000000000000000000011101100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010001111010001101000000000000000001000000000010000000000100001000001010000000000000000000111011000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000000000000000010000000000100000000001000010000010100000000000000000001001110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000000000000000100000000001000000000010000100000101000000000000000000010011100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000000000000001000000000010000000000100001000001010000000000000000000100111000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000000000000000010000000000100000000001000010000010100000000000000000001001110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000000000000000100000000001000000000010000100000101000000000000000000011011100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000000000000001000000000010000000000100001000001010000000000000000000110111000110001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100110011110100111010000000000000000010000000000100000000001000010000010100000000000000000001101110001100011110000000010000000001000000001111111110100110001101110101000000001010010010001101101001001000111101000110100000010000000000100000000001000000000010000100000101000000000000000000011011100011000111100000000100000000010000000011111111101001100011011101010000000010100100100011011010010010001111010001101000000100000000001000000000010000000000100001000001010000000000000000000101111000110001111000000001000000000100000000111111111010011000110111010100000000101001001000110110100100110011110100011010000001000000000010000000000100000000001000010000010100000000000000000001011110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000010000000000100000000001000000000010000100000101000000000000000000010111100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000100000000001000000000010000000000100001000001010000000000000000000101111000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000001000000000010000000000100000000001000010000010100000000000000000001111110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000010000000000100000000001000000000010000100000101000000000000000000011111100011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000100000000001000000000010000000000100001000001010000000000000000000111111000110001111000000001000000000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000000000000000000000000000000000000000000001000010100000000000000000001111110001100011110000000010000000001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000000000000000000000000000000000000000000010000101000000000000000000010000010011000111100000000100000000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000000000000000000000000000000000000000000100001010000000000000000000100000100110001111000000001000000000101000000111111111010000000000000000000000000101001001000110110100100110011110100011010000000000000000000000000000000000000000000001000010100000000000000000001000001001100011110000000010000000001010000001111111110100000000000000000000000001010010010001101101001001000111101001110100000000000000000000000000000000000000000000010000101000000000000000000010000010011000111100000000100000000010100000011111111101000000000000000000001111010100100100011011010010010001111010011101000000000000000000000000000000000000000000000100001010000000000000000000110000100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000000000000000000000000000000000000000000001000010100000000000000000001100001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000000000000000000000000000000000000000000010000101000000000000000000011000010011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000100000000000000000000000000000000000000100001010000000000000000000110000100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000001000000000000000000000000000000000000001000010100000000000000000001010001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000010000000000000000000000000000000000000010000101000000000000000000010100010011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011001111010001101000000100000000000000000000000000000000000000100001010000000000000000000101000100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000001000000000000000000000000000000000000001000010100000000000000000001010001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000010000000000000000000000000000000000000010000101000000000000000000011100010011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000100000000000000000000000000000000000000100001010000000000000000000111000100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000001000000000000000000000000000000000000001000010100000000000000000001110001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001000111101000110100000000000000000100000000000000000000000000010000101000000000000000000011100010011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000000000000001000000000000000000000000000100001010000000000000000000100100100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000000000000000010000000000000000000000000001000010100000000000000000001001001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000000000000000100000000000000000000000000010000101000000000000000000010010010011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000000000000001000000000000000000000000000100001010000000000000000000100100100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000000000000000010000000000000000000000000001000010100000000000000000001101001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000000000000000100000000000000000000000000010000101000000000000000000011010010011000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010011001111010011101000000000000000001000000000000000000000000000100001010000000000000000000110100100110001111000000001000000000100000000111111111010000000000000000000101010101001001000110110100100100011110100011010000001000000000010000000000000000000000000001000010100000000000000000001101001001100011110000000010000000001000000001111111110100000000000000000001010101010010010001101101001001000111101000110100000010000000000100000000000000000000000000010000101000000000000000000010110010011000111100000000100000000010000000011111111101000000000000000000010101010100100100011011010010011001111010001101000000100000000001000000000000000000000000000100001010000000000000000000101100100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000001000000000010000000000000000000000000001000010100000000000000000001011001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000010000000000100000000000000000000000000010000101000000000000000000010110010011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000100000000001000000000000000000000000000100001010000000000000000000111100100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000001000000000010000000000000000000000000001000010100000000000000000001111001001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000010000000000100000000000000000000000000010000101000000000000000000011110010011000111100000000100000000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000000000000000000000000010000000000000000100001010000000000000000000111100100110001111000000001000000000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000000000000000000000000000100000000000000001000010100000000000000000001000101001100011110000000010000000001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000000000000000000000000001000000000000000010000101000000000000000000010001010011000111100000000100000000010100000011111111101010000000000000000010101010100100100011011010010011001111010001101000000000000000000000000000010000000000000000100001010000000000000000000100010100110001111000000001000000000101000000111111111010100000000000000000101010101001001000110110100100100011110100111010000000000000000000000000000100000000000000001000010100000000000000000001000101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000000000000000000000000001000000000000000010000101000000000000000000011001010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000000000000000000000000010000000000000000100001010000000000000000000110010100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000000000000000000000000000100000000000000001000010100000000000000000001100101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000010000000000000000000001000000000000000010000101000000000000000000011001010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000100000000000000000000010000000000000000100001010000000000000000000101010100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000001000000000000000000000100000000000000001000010100000000000000000001010101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001100111101000110100000010000000000000000000001000000000000000010000101000000000000000000010101010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000100000000000000000000010000000000000000100001010000000000000000000101010100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000001000000000000000000000100000000000000001000010100000000000000000001110101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000010000000000000000000001000000000000000010000101000000000000000000011101010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000100000000000000000000010000000000000000100001010000000000000000000111010100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100100011110100011010000000000000000010000000000100000000000000001000010100000000000000000001110101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000000000000000100000000001000000000000000010000101000000000000000000010011010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000000000000001000000000010000000000000000100001010000000000000000000100110100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000000000000000010000000000100000000000000001000010100000000000000000001001101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000000000000000100000000001000000000000000010000101000000000000000000010011010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000000000000001000000000010000000000000000100001010000000000000000000110110100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000000000000000010000000000100000000000000001000010100000000000000000001101101001100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001100111101001110100000000000000000100000000001000000000000000010000101000000000000000000011011010011000111100000000100000000010000000011111111101010000000000000001100000010100100100011011010010010001111010001101000000100000000001000000000010000000000000000100001010000000000000000000110110100110001111000000001000000000100000000111111111010100000000000000011000000101001001000110110100100100011110100011010000001000000000010000000000100000000000000001000010100000000000000000001011101001100011110000000010000000001000000001111111110101000000000000000110000001010010010001101101001001100111101000110100000010000000000100000000001000000000000000010000101000000000000000000010111010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000100000000001000000000010000000000000000100001010000000000000000000101110100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000001000000000010000000000100000000000000001000010100000000000000000001011101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000010000000000100000000001000000000000000010000101000000000000000000011111010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000100000000001000000000010000000000000000100001010000000000000000000111110100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000001000000000010000000000100000000000000001000010100000000000000000001111101001100011110000000010000000001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000000000000000000000000000000000000010000010000101000000000000000000011111010011000111100000000100000000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000000000000000000000000000000000000100000100001010000000000000000000100001100110001111000000001000000000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000000000000000000000000000000000000001000001000010100000000000000000001000011001100011110000000010000000001010000001111111110101000000110101011110000001010010010001101101001001100111101000110100000000000000000000000000000000000000010000010000101000000000000000000010000110011000111100000000100000000010100000011111111101010000001101010111100000010100100100011011010010010001111010011101000000000000000000000000000000000000000100000100001010000000000000000000100001100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100100011110100111010000000000000000000000000000000000000001000001000010100000000000000000001100011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001100111101001110100000000000000000000000000000000000000010000010000101000000000000000000011000110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011001111010011101000000000000000000000000000000000000000100000100001010000000000000000000110001100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100100011110100011010000001000000000000000000000000000000001000001000010100000000000000000001100011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001000111101000110100000010000000000000000000000000000000010000010000101000000000000000000010100110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010011001111010001101000000100000000000000000000000000000000100000100001010000000000000000000101001100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100110011110100011010000001000000000000000000000000000000001000001000010100000000000000000001010011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001000111101001110100000010000000000000000000000000000000010000010000101000000000000000000010100110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010010001111010011101000000100000000000000000000000000000000100000100001010000000000000000000111001100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100100110011110100111010000001000000000000000000000000000000001000001000010100000000000000000001110011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001001100111101001110100000010000000000000000000000000000000010000010000101000000000000000000011100110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110001111010001101000000000000000001000000000000000000000100000100001010000000000000000000111001100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101100011110100011010000000000000000010000000000000000000001000001000010100000000000000000001001011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011100111101000110100000000000000000100000000000000000000010000010000101000000000000000000010010110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111001111010001101000000000000000001000000000000000000000100000100001010000000000000000000100101100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101100011110100111010000000000000000010000000000000000000001000001000010100000000000000000001001011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011000111101001110100000000000000000100000000000000000000010000010000101000000000000000000011010110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111001111010011101000000000000000001000000000000000000000100000100001010000000000000000000110101100110001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101110011110100111010000000000000000010000000000000000000001000001000010100000000000000000001101011001100011110000000010000000001000000001111111110101000000110101011111111111010010010001101101001011000111101000110100000010000000000100000000000000000000010000010000101000000000000000000011010110011000111100000000100000000010000000011111111101010000001101010111111111110100100100011011010010110001111010001101000000100000000001000000000000000000000100000100001010000000000000000000101101100110001111000000001000000000100000000111111111010100000011010101111111111101001001000110110100101110011110100011010000001000000000010000000000000000000001000001000010100000000000000000001011011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011100111101000110100000010000000000100000000000000000000010000010000101000000000000000000010110110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010110001111010011101000000100000000001000000000000000000000100000100001010000000000000000000101101100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101100011110100111010000001000000000010000000000000000000001000001000010100000000000000000001111011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011100111101001110100000010000000000100000000000000000000010000010000101000000000000000000011110110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111001111010011101000000100000000001000000000000000000000100000100001010000000000000000000111101100110001111000000001000000000101000000111111111010100000011010101111111111101001001000110110100101100011110100011010000000000000000000000000000100000000001000001000010100000000000000000001111011001100011110000000010000000001010000001111111110101000000110101011111111111010010010001101101001011000111101000110100000000000000000000000000001000000000010000010000101000000000000000000010001110011000111100000000100000000010100000011111111101010000001101010111111111110100100100011011010010111001111010001101000000000000000000000000000010000000000100000100001010000000000000000000100011100110001111000000001000000000101000000111111111010111000110111010111111111101001011000110110100101110011110100011010000000000000000000000000000100000000001000001000010100000000000000000001000111001100011110000000010000000001010000001111111110101110001101110101111111111010010110001101101001011000111101001110100000000000000000000000000001000000000010000010000101000000000000000000010001110011000111100000000100000000010100000011111111101011100011011101010000000010100101100011011010010110001111010011101000000000000000000000000000010000000000100000100001010000000000000000000110011100110001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101110011110100111010000000000000000000000000000100000000001000001000010100000000000000000001100111001100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011100111101001110100000000000000000000000000001000000000010000010000101000000000000000000011001110011000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010110001111010001101000000100000000000000000000010000000000100000100001010000000000000000000110011100110001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101100011110100011010000001000000000000000000000100000000001000001000010100000000000000000001010111001100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011100111101000110100000010000000000000000000001000000000010000010000101000000000000000000010101110011000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111001111010001101000000100000000000000000000010000000000100000100001010000000000000000000101011100110001111000000001000000000101000000111111111010111000110111010110110001101001011000110110100101100011110100111010000001000000000000000000000100000000001000001000010100000000000000000001010111001100011110000000010000000001010000001111111110101110001101110101101100011010010110001101101001011000111101001110100000010000000000000000000001000000000010000010000101000000000000000000011101110011000111100000000100000000010100000011111111101011100011011101011011000110100101100011011010010111001111010011101000000100000000000000000000010000000000100000100001010000000000000000000111011100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101110011110100111010000001000000000000000000000100000000001000001000010100000000000000000001110111001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011000111101000110100000000000000000100000000001000000000010000010000101000000000000000000011101110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110001111010001101000000000000000001000000000010000000000100000100001010000000000000000000100111100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101110011110100011010000000000000000010000000000100000000001000001000010100000000000000000001001111001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011100111101000110100000000000000000100000000001000000000010000010000101000000000000000000010011110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110001111010011101000000000000000001000000000010000000000100000100001010000000000000000000100111100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101100011110100111010000000000000000010000000000100000000001000001000010100000000000000000001101111001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011100111101001110100000000000000000100000000001000000000010000010000101000000000000000000011011110011000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010111001111010011101000000000000000001000000000010000000000100000100001010000000000000000000110111100110001111000000001000000000100000000111111111010111000110111010110110001101001011011000110100101100011110100011010000001000000000010000000000100000000001000001000010100000000000000000001101111001100011110000000010000000001000000001111111110101110001101110101101100011010010110110001101001011000111101000110100000010000000000100000000001000000000010000010000101000000000000000000010111110011000111100000000100000000010000000011111111101011100011011101011011000110100101101100011010010111001111010001101000000100000000001000000000010000000000100000100001010000000000000000000101111100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101110011110100011010000001000000000010000000000100000000001000001000010100000000000000000001011111001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011000111101001110100000010000000000100000000001000000000010000010000101000000000000000000010111110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110001111010011101000000100000000001000000000010000000000100000100001010000000000000000000111111100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101110011110100111010000001000000000010000000000100000000001000001000010100000000000000000001111111001100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011100111101001110100000010000000000100000000001000000000010000010000101000000000000000000011111110011000111100000000100000000010100000011111111101011100011011101011011000110100101101100011010010110001111010001101000000000000000000000000000000000000000000001100001010000000000000000000111111100110001111000000001000000000101000000111111111010111000110111010110110001101001011011000110100101100011110100011010000000000000000000000000000000000000000000011000010100000000000000000001000000101100011110000000010000000001010000001111111110101110001101110101101100011010010110110001101001011100111101000110100000000000000000000000000000000000000000000110000101000000000000000000010000001011000111100000000100000000010100000011111111101001100010111101011011000110100101101100011010010111001111010001101000000000000000000000000000000000000000000001100001010000000000000000000100000010110001111000000001000000000101000000111111111010011000101111010110110001101001011011000110100101100011110100111010000000000000000000000000000000000000000000011000010100000000000000000001000000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000000000000000000000000000000000000000000110000101000000000000000000011000001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000000000000000000000000000000000000000001100001010000000000000000000110000010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000000000000000000000000000000000000000000011000010100000000000000000001100000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000010000000000000000000000000000000000000110000101000000000000000000011000001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110001111010001101000000100000000000000000000000000000000000001100001010000000000000000000101000010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000001000000000000000000000000000000000000011000010100000000000000000001010000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011100111101000110100000010000000000000000000000000000000000000110000101000000000000000000010100001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000100000000000000000000000000000000000001100001010000000000000000000101000010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000001000000000000000000000000000000000000011000010100000000000000000001110000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000010000000000000000000000000000000000000110000101000000000000000000011100001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000100000000000000000000000000000000000001100001010000000000000000000111000010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101100011110100011010000000000000000010000000000000000000000000011000010100000000000000000001110000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000000000000000100000000000000000000000000110000101000000000000000000010010001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000000000000001000000000000000000000000001100001010000000000000000000100100010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000000000000000010000000000000000000000000011000010100000000000000000001001000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000000000000000100000000000000000000000000110000101000000000000000000010010001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000000000000001000000000000000000000000001100001010000000000000000000110100010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000000000000000010000000000000000000000000011000010100000000000000000001101000101100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011100111101001110100000000000000000100000000000000000000000000110000101000000000000000000011010001011000111100000000100000000010000000011111111101001100010111101011110000010100101101100011010010110001111010001101000000100000000001000000000000000000000000001100001010000000000000000000110100010110001111000000001000000000100000000111111111010011000101111010111100000101001011011000110100101100011110100011010000001000000000010000000000000000000000000011000010100000000000000000001011000101100011110000000010000000001000000001111111110100110001011110101111000001010010110110001101001011100111101000110100000010000000000100000000000000000000000000110000101000000000000000000010110001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000100000000001000000000000000000000000001100001010000000000000000000101100010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000001000000000010000000000000000000000000011000010100000000000000000001011000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000010000000000100000000000000000000000000110000101000000000000000000011110001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000100000000001000000000000000000000000001100001010000000000000000000111100010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000001000000000010000000000000000000000000011000010100000000000000000001111000101100011110000000010000000001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000000000000000000000000001000000000000000110000101000000000000000000011110001011000111100000000100000000010100000011111111101001100010111101011110000010100101101100011010010110001111010001101000000000000000000000000000010000000000000001100001010000000000000000000100010010110001111000000001000000000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000000000000000000000000000100000000000000011000010100000000000000000001000100101100011110000000010000000001010000001111111110101110001011110101111000001010010110110001101001011100111101000110100000000000000000000000000001000000000000000110000101000000000000000000010001001011000111100000000100000000010100000011111111101011100010111101011110000010100101101100011010010110001111010011101000000000000000000000000000010000000000000001100001010000000000000000000100010010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100011110100111010000000000000000000000000000100000000000000011000010100000000000000000001100100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011100111101001110100000000000000000000000000001000000000000000110000101000000000000000000011001001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111001111010011101000000000000000000000000000010000000000000001100001010000000000000000000110010010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100011110100011010000001000000000000000000000100000000000000011000010100000000000000000001100100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011000111101000110100000010000000000000000000001000000000000000110000101000000000000000000010101001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111001111010001101000000100000000000000000000010000000000000001100001010000000000000000000101010010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110011110100011010000001000000000000000000000100000000000000011000010100000000000000000000010100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011000111101001110100000010000000000000000000001000000000000000110000101000000000000000000000101001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110001111010011101000000100000000000000000000010000000000000001100001010000000000000000000011010010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101110011110100111010000001000000000000000000000100000000000000011000010100000000000000000000110100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011100111101001110100000010000000000000000000001000000000000000110000101000000000000000000001101001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110001111010001101000000000000000001000000000010000000000000001100001010000000000000000000011010010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100011110100011010000000000000000010000000000100000000000000011000010100000000000000000000001100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011100111101000110100000000000000000100000000001000000000000000110000101000000000000000000000011001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111001111010001101000000000000000001000000000010000000000000001100001010000000000000000000000110010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100011110100111010000000000000000010000000000100000000000000011000010100000000000000000000001100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011000111101001110100000000000000000100000000001000000000000000110000101000000000000000000001011001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111001111010011101000000000000000001000000000010000000000000001100001010000000000000000000010110010110001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101110011110100111010000000000000000010000000000100000000000000011000010100000000000000000000101100101100011110000000010000000001000000001111111110101110001011110101000001001010010110110001101001011000111101000110100000010000000000100000000001000000000000000110000101000000000000000000001011001011000111100000000100000000010000000011111111101011100010111101010000010010100101101100011010010110001111010001101000000100000000001000000000010000000000000001100001010000000000000000000001110010110001111000000001000000000100000000111111111010111000101111010100000100101001011011000110100101110011110100011010000001000000000010000000000100000000000000011000010100000000000000000000011100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011100111101000110100000010000000000100000000001000000000000000110000101000000000000000000000111001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010110001111010011101000000100000000001000000000010000000000000001100001010000000000000000000001110010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100011110100111010000001000000000010000000000100000000000000011000010100000000000000000000111100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011100111101001110100000010000000000100000000001000000000000000110000101000000000000000000001111001011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111001111010011101000000100000000001000000000010000000000000001100001010000000000000000000011110010110001111000000001000000000101000000111111111010111000101111010100000100101001011011000110100101100011110100011010000000000000000000000000000000000000001000011000010100000000000000000000111100101100011110000000010000000001010000001111111110101110001011110101000001001010010110110001101001011000111101000110100000000000000000000000000000000000000010000110000101000000000000000000000000101011000111100000000100000000010100000011111111101011100010111101010000010010100101101100011010010111001111010001101000000000000000000000000000000000000000100001100001010000000000000000000000001010110001111000000001000000000101000000111111111010100010011000000000000100111111111011000110100101110011110100011010000000000000000000000000000000000000001000011000010100000000000000000000000010101100011110000000010000000001010000001111111111101000100110000000000001001111111110110001101001011000111101001110100000000000000000000000000000000000000010000110000101000000000000000000000000101011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110001111010011101000000000000000000000000000000000000000100001100001010000000000000000000010001010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101110011110100111010000000000000000000000000000000000000001000011000010100000000000000000000100010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011100111101001110100000000000000000000000000000000000000010000110000101000000000000000000001000101011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110001111010001101000000100000000000000000000000000000000100001100001010000000000000000000010001010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100011110100011010000001000000000000000000000000000000001000011000010100000000000000000000010010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011100111101000110100000010000000000000000000000000000000010000110000101000000000000000000000100101011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111001111010001101000000100000000000000000000000000000000100001100001010000000000000000000001001010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100011110100111010000001000000000000000000000000000000001000011000010100000000000000000000010010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011000111101001110100000010000000000000000000000000000000010000110000101000000000000000000001100101011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010111001111010011101000000100000000000000000000000000000000100001100001010000000000000000000011001010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101110011110100111010000001000000000000000000000000000000001000011000010100000000000000000000110010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011000111101000110100000000000000000100000000000000000000010000110000101000000000000000000001100101011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110001111010001101000000000000000001000000000000000000000100001100001010000000000000000000000101010110001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101110011110100011010000000000000000010000000000000000000001000011000010100000000000000000000001010101100011110000000010000000001010000001111111111001000100110000000111111111111111110110001101001011100111101000110100000000000000000100000000000000000000010000110000101000000000000000000000010101011000111100000000100000000010100000011111111110010001001100000001111111111111111101100011010010110001111010011101000000000000000001000000000000000000000100001100001010000000000000000000000101010110001111000000001000000000101000000111111111100100010011000000011111111111111111011000110100101100011110100111010000000000000000010000000000000000000001000011000010100000000000000000000101010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011100111101001110100000000000000000100000000000000000000010000110000101000000000000000000001010101011000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010111001111010011101000000000000000001000000000000000000000100001100001010000000000000000000010101010110001111000000001000000000100000000111111111110100010011000000011111111111111111011000110100101100011110100011010000001000000000010000000000000000000001000011000010100000000000000000000101010101100011110000000010000000001000000001111111111101000100110000000111111111111111110110001101001011000111101000110100000010000000000100000000000000000000010000110000101000000000000000000000110101011000111100000000100000000010000000011111111111010001001100000001111111111111111101100011010010111001111010001101000000100000000001000000000000000000000100001100001010000000000000000000001101010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101110011110100011010000001000000000010000000000000000000001000011000010100000000000000000000011010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011000111101001110100000010000000000100000000000000000000010000110000101000000000000000000000110101011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110001111010011101000000100000000001000000000000000000000100001100001010000000000000000000011101010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101110011110100111010000001000000000010000000000000000000001000011000010100000000000000000000111010101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011100111101001110100000010000000000100000000000000000000010000110000101000000000000000000001110101011000111100000000100000000010100000011111111111010001001100000001111111111111111101100011010010110001111010001101000000000000000000000000000010000000000100001100001010000000000000000000011101010110001111000000001000000000101000000111111111110100010011000000011111111111111111011000110100101100011110100011010000000000000000000000000000100000000001000011000010100000000000000000000000110101100011110000000010000000001010000001111111111101000100110000000111111111111111110110001101001011100111101000110100000000000000000000000000001000000000010000110000101000000000000000000000001101011000111100000000100000000010100000011111111111001100011111101011111111110100101101100011010010111001111010001101000000000000000000000000000010000000000100001100001010000000000000000000000011010110001111000000001000000000101000000111111111010011000111111010111111111101001011011000110100101100011110100111010000000000000000000000000000100000000001000011000010100000000000000000000000110101100011110000000010000000001010000001111111110100110001111110101111111111010010110110001101001011000111101001110100000000000000000000000000001000000000010000110000101000000000000000000001001101011000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010111001111010011101000000000000000000000000000010000000000100001100001010000000000000000000010011010110001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101110011110100111010000000000000000000000000000100000000001000011000010100000000000000000000100110101100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011000111101000110100000010000000000000000000001000000000010000110000101000000000000000000001001101011000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110001111010001101000000100000000000000000000010000000000100001100001010000000000000000000001011010110001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101110011110100011010000001000000000000000000000100000000001000011000010100000000000000000000010110101100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011100111101000110100000010000000000000000000001000000000010000110000101000000000000000000000101101011000111100000000100000000010100000011111111101001100011111101010101001110100101101100011010010110001111010011101000000100000000000000000000010000000000100001100001010000000000000000000001011010110001111000000001000000000101000000111111111010011000111111010101010011101001011011000110100101100011110100111010000001000000000000000000000100000000001000011000010100000000000000000000110110101100011110000000010000000001010000001111111110100110001111110101010100111010010110110001101001011100111101001110100000010000000000000000000001000000000010000110000101000000000000000000001101101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000100000000000000000000010000000000100001100001010000000000000000000011011010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100011110100011010000000000000000010000000000100000000001000011000010100000000000000000000110110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011000111101000110100000000000000000100000000001000000000010000110000101000000000000000000000011101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111001111010001101000000000000000001000000000010000000000100001100001010000000000000000000000111010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110011110100011010000000000000000010000000000100000000001000011000010100000000000000000000001110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000000000000000100000000001000000000010000110000101000000000000000000000011101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000000000000001000000000010000000000100001100001010000000000000000000010111010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000000000000000010000000000100000000001000011000010100000000000000000000101110101100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011100111101001110100000000000000000100000000001000000000010000110000101000000000000000000001011101011000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010110001111010001101000000100000000001000000000010000000000100001100001010000000000000000000010111010110001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100101100011110100011010000001000000000010000000000100000000001000011000010100000000000000000000011110101100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001011100111101000110100000010000000000100000000001000000000010000110000101000000000000000000000111101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111001111010001101000000100000000001000000000010000000000100001100001010000000000000000000001111010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000001000000000010000000000100000000001000011000010100000000000000000000011110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000010000000000100000000001000000000010000110000101000000000000000000001111101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000100000000001000000000010000000000100001100001010000000000000000000011111010110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000001000000000010000000000100000000001000011000010100000000000000000000111110101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011000111101000110100000000000000000000000000000000000000000000001000101000000000000000000001111101011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110001111010001101000000000000000000000000000000000000000000000010001010000000000000000000000000110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110011110100011010000000000000000000000000000000000000000000000100010100000000000000000000000001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011100111101000110100000000000000000000000000000000000000000000001000101000000000000000000000000011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000000000000000000000000000000000000000000010001010000000000000000000000000110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000000000000000000000000000000000000000000000100010100000000000000000000100001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000000000000000000000000000000000000000000001000101000000000000000000001000011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000000000000000000000000000000000000000000010001010000000000000000000010000110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101100011110100011010000001000000000000000000000000000000000000000100010100000000000000000000100001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011000111101000110100000010000000000000000000000000000000000000001000101000000000000000000000100011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010111001111010001101000000100000000000000000000000000000000000000010001010000000000000000000001000110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110011110100011010000001000000000000000000000000000000000000000100010100000000000000000000010001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000010000000000000000000000000000000000000001000101000000000000000000000100011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000100000000000000000000000000000000000000010001010000000000000000000011000110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000001000000000000000000000000000000000000000100010100000000000000000000110001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000010000000000000000000000000000000000000001000101000000000000000000001100011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010001111010001101000000000000000001000000000000000000000000000010001010000000000000000000011000110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100100011110100011010000000000000000010000000000000000000000000000100010100000000000000000000001001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001100111101000110100000000000000000100000000000000000000000000001000101000000000000000000000010011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011001111010001101000000000000000001000000000000000000000000000010001010000000000000000000000100110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100100011110100111010000000000000000010000000000000000000000000000100010100000000000000000000001001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001000111101001110100000000000000000100000000000000000000000000001000101000000000000000000001010011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011001111010011101000000000000000001000000000000000000000000000010001010000000000000000000010100110110001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100110011110100111010000000000000000010000000000000000000000000000100010100000000000000000000101001101100011110000000010000000001000000001111111110100110001111110101010100111010010101010011101001001000111101000110100000010000000000100000000000000000000000000001000101000000000000000000001010011011000111100000000100000000010000000011111111101001100011111101010101001110100101010100111010010010001111010001101000000100000000001000000000000000000000000000010001010000000000000000000001100110110001111000000001000000000100000000111111111010011000111111010101010011101001010101001110100100110011110100011010000001000000000010000000000000000000000000000100010100000000000000000000011001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001100111101000110100000010000000000100000000000000000000000000001000101000000000000000000000110011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010010001111010011101000000100000000001000000000000000000000000000010001010000000000000000000001100110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100100011110100111010000001000000000010000000000000000000000000000100010100000000000000000000111001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001100111101001110100000010000000000100000000000000000000000000001000101000000000000000000001110011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011001111010011101000000100000000001000000000000000000000000000010001010000000000000000000011100110110001111000000001000000000101000000111111111010011000111111010101010011101001010101001110100100100011110100011010000000000000000000000000000100000000000000000100010100000000000000000000111001101100011110000000010000000001010000001111111110100110001111110101010100111010010101010011101001001000111101000110100000000000000000000000000001000000000000000001000101000000000000000000000001011011000111100000000100000000010100000011111111101001100011111101010101001110100101010100111010010011001111010001101000000000000000000000000000010000000000000000010001010000000000000000000000010110110001111000000001000000000101000000111111111010111000111111010101010011101001000101001110100100110011110100011010000000000000000000000000000100000000000000000100010100000000000000000000000101101100011110000000010000000001010000001111111110101110001111110101010100111010010001010011101001001000111101001110100000000000000000000000000001000000000000000001000101000000000000000000000001011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010001111010011101000000000000000000000000000010000000000000000010001010000000000000000000010010110110001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100110011110100111010000000000000000000000000000100000000000000000100010100000000000000000000100101101100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001100111101001110100000000000000000000000000001000000000000000001000101000000000000000000001001011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010010001111010001101000000100000000000000000000010000000000000000010001010000000000000000000010010110110001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100100011110100011010000001000000000000000000000100000000000000000100010100000000000000000000010101101100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001100111101000110100000010000000000000000000001000000000000000001000101000000000000000000000101011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011001111010001101000000100000000000000000000010000000000000000010001010000000000000000000001010110110001111000000001000000000101000000111111111010111000111111010100001011101001000101001110100100100011110100111010000001000000000000000000000100000000000000000100010100000000000000000000010101101100011110000000010000000001010000001111111110101110001111110101000010111010010001010011101001001000111101001110100000010000000000000000000001000000000000000001000101000000000000000000001101011011000111100000000100000000010100000011111111101011100011111101010000101110100100010100111010010011001111010011101000000100000000000000000000010000000000000000010001010000000000000000000011010110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100111010000001000000000000000000000100000000000000000100010100000000000000000000110101101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001000111101000110100000000000000000100000000001000000000000000001000101000000000000000000001101011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010001111010001101000000000000000001000000000010000000000000000010001010000000000000000000000110110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100011010000000000000000010000000000100000000000000000100010100000000000000000000001101101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001100111101000110100000000000000000100000000001000000000000000001000101000000000000000000000011011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010001111010011101000000000000000001000000000010000000000000000010001010000000000000000000000110110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100011110100111010000000000000000010000000000100000000000000000100010100000000000000000000101101101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001100111101001110100000000000000000100000000001000000000000000001000101000000000000000000001011011011000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010011001111010011101000000000000000001000000000010000000000000000010001010000000000000000000010110110110001111000000001000000000100000000111111111010111000111111010100001011101001000000101110100100100011110100011010000001000000000010000000000100000000000000000100010100000000000000000000101101101100011110000000010000000001000000001111111110101110001111110101000010111010010000001011101001001000111101000110100000010000000000100000000001000000000000000001000101000000000000000000000111011011000111100000000100000000010000000011111111101011100011111101010000101110100100000010111010010011001111010001101000000100000000001000000000010000000000000000010001010000000000000000000001110110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100011010000001000000000010000000000100000000000000000100010100000000000000000000011101101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001000111101001110100000010000000000100000000001000000000000000001000101000000000000000000000111011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010001111010011101000000100000000001000000000010000000000000000010001010000000000000000000011110110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100110011110100111010000001000000000010000000000100000000000000000100010100000000000000000000111101101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001100111101001110100000010000000000100000000001000000000000000001000101000000000000000000001111011011000111100000000100000000010100000011111111101011100011111101010000101110100100000010111010010010001111010001101000000000000000000000000000000000000000100000010001010000000000000000000011110110110001111000000001000000000101000000111111111010111000111111010100001011101001000000101110100100100011110100011010000000000000000000000000000000000000001000000100010100000000000000000000000011101100011110000000010000000001010000001111111110101110001111110101000010111010010000001011101001001100111101000110100000000000000000000000000000000000000010000001000101000000000000000000000000111011000111100000000100000000010100000011111111101001100010000011010000101110100100000010111010010011001111010001101000000000000000000000000000000000000000100000010001010000000000000000000000001110110001111000000001000000000101000000111111111010011000100000110100001011101001000000101110100100100011110100111010000000000000000000000000000000000000001000000100010100000000000000000000000011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000000000000000000000000000000000000010000001000101000000000000000000001000111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000000000000000000000000000000000000100000010001010000000000000000000010001110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000000000000000000000000000000000000001000000100010100000000000000000000100011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000010000000000000000000000000000000010000001000101000000000000000000001000111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010001101000000100000000000000000000000000000000100000010001010000000000000000000001001110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000001000000000000000000000000000000001000000100010100000000000000000000010011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000010000000000000000000000000000000010000001000101000000000000000000000100111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000100000000000000000000000000000000100000010001010000000000000000000001001110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000001000000000000000000000000000000001000000100010100000000000000000000110011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000010000000000000000000000000000000010000001000101000000000000000000001100111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000100000000000000000000000000000000100000010001010000000000000000000011001110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000000000000000010000000000000000000001000000100010100000000000000000000110011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000000000000000100000000000000000000010000001000101000000000000000000000010111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000000000000001000000000000000000000100000010001010000000000000000000000101110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000000000000000010000000000000000000001000000100010100000000000000000000001011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000000000000000100000000000000000000010000001000101000000000000000000000010111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000000000000001000000000000000000000100000010001010000000000000000000010101110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000000000000000010000000000000000000001000000100010100000000000000000000101011101100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001100111101001110100000000000000000100000000000000000000010000001000101000000000000000000001010111011000111100000000100000000010000000011111111101001100010000011011010111110100100000010111010010010001111010001101000000100000000001000000000000000000000100000010001010000000000000000000010101110110001111000000001000000000100000000111111111010011000100000110110101111101001000000101110100100100011110100011010000001000000000010000000000000000000001000000100010100000000000000000000011011101100011110000000010000000001000000001111111110100110001000001101101011111010010000001011101001001100111101000110100000010000000000100000000000000000000010000001000101000000000000000000000110111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000100000000001000000000000000000000100000010001010000000000000000000001101110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000001000000000010000000000000000000001000000100010100000000000000000000011011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000010000000000100000000000000000000010000001000101000000000000000000001110111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000100000000001000000000000000000000100000010001010000000000000000000011101110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000001000000000010000000000000000000001000000100010100000000000000000000111011101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000000000000000000000000001000000000010000001000101000000000000000000001110111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010001101000000000000000000000000000010000000000100000010001010000000000000000000000011110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000000000000000000000000000100000000001000000100010100000000000000000000000111101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000000000000000000000000001000000000010000001000101000000000000000000000001111011000111100000000100000000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000000000000000000000000010000000000100000010001010000000000000000000000011110110001111000000001000000000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000000000000000000000000000100000000001000000100010100000000000000000000100111101100011110000000010000000001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000000000000000000000000001000000000010000001000101000000000000000000001001111011000111100000000100000000010100000011111111101001100011101101011010111110100100000010111010010011001111010011101000000000000000000000000000010000000000100000010001010000000000000000000010011110110001111000000001000000000101000000111111111010011000111011010110101111101001000000101110100100100011110100011010000001000000000000000000000100000000001000000100010100000000000000000000100111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000010000000000000000000001000000000010000001000101000000000000000000000101111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000000000000000010000000000100000010001010000000000000000000001011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000000000000000100000000001000000100010100000000000000000001010111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000000000000001000000000010000001000101000000000000000000010101111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000000000000000010000000000100000010001010000000000000000000111011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000001000000000000000000000100000000001000000100010100000000000000000001110111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000010000000000000000000001000000000010000001000101000000000000000000011101111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000000000000001000000000010000000000100000010001010000000000000000000111011110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000000000000000010000000000100000000001000000100010100000000000000000001001111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000000000000000100000000001000000000010000001000101000000000000000000010011111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000000000000001000000000010000000000100000010001010000000000000000000100111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000000000000000010000000000100000000001000000100010100000000000000000001001111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000000000000000100000000001000000000010000001000101000000000000000000011011111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000000000000001000000000010000000000100000010001010000000000000000000110111110110001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100110011110100111010000000000000000010000000000100000000001000000100010100000000000000000001101111101100011110000000010000000001000000001111111110100110001110110101000011011010010000001011101001001000111101000110100000010000000000100000000001000000000010000001000101000000000000000000011011111011000111100000000100000000010000000011111111101001100011101101010000110110100100000010111010010010001111010001101000000100000000001000000000010000000000100000010001010000000000000000000101111110110001111000000001000000000100000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000010000000000100000000001000000100010100000000000000000001011111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000100000000001000000000010000001000101000000000000000000010111111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000001000000000010000000000100000010001010000000000000000000101111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000010000000000100000000001000000100010100000000000000000001111111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000010000000000100000000001000000000010000001000101000000000000000000011111111011000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000100000000001000000000010000000000100000010001010000000000000000000111111110110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000000000000000000000000000000000000000000010100010100000000000000000001111111101100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000000000000000000000000000000000000000000101000101000000000000000000010000000111000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000000000000000000000000000000000000000001010001010000000000000000000100000001110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000000000000000000000000000000000000000000010100010100000000000000000001000000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000000000000000000000000000000000000000000101000101000000000000000000010000000111000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000000000000000000000000000000000000000001010001010000000000000000000110000001110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000000000000000000000000000000000000000000010100010100000000000000000001100000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000000000000000000000000000000000000000000101000101000000000000000000011000000111000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000100000000000000000000000000000000000001010001010000000000000000000110000001110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000001000000000000000000000000000000000000010100010100000000000000000001010000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000000000000000000000000000000101000101000000000000000000010100000111000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000000000000000000000000000000001010001010000000000000000000101000001110001111000000001000000000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000000000000000000000000000000010100010100000000000000000001010000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000000000000000000000000000000101000101000000000000000000011100000111000111100000000100000000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000100000000000000000000000000000000000001010001010000000000000000000111000001110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100111010000001000000000000000000000000000000000000010100010100000000000000000001110000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001000111101000110100000000000000000100000000000000000000000000101000101000000000000000000011100000111000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010001111010001101000000000000000001000000000000000000000000001010001010000000000000000000100100001110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100011010000000000000000010000000000000000000000000010100010100000000000000000001001000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001100111101000110100000000000000000100000000000000000000000000101000101000000000000000000010010000111000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010001111010011101000000000000000001000000000000000000000000001010001010000000000000000000100100001110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100100011110100111010000000000000000010000000000000000000000000010100010100000000000000000001101000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001100111101001110100000000000000000100000000000000000000000000101000101000000000000000000011010000111000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010011001111010011101000000000000000001000000000000000000000000001010001010000000000000000000110100001110001111000000001000000000100000000111111111010011000111011010100001101101001000000110110100100100011110100011010000001000000000010000000000000000000000000010100010100000000000000000001101000011100011110000000010000000001000000001111111110100110001110110101000011011010010000001101101001001000111101000110100000010000000000100000000000000000000000000101000101000000000000000000010110000111000111100000000100000000010000000011111111101001100011101101010000110110100100000011011010010011001111010001101000000100000000001000000000000000000000000001010001010000000000000000000101100001110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100011010000001000000000010000000000000000000000000010100010100000000000000000001011000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001000111101001110100000010000000000100000000000000000000000000101000101000000000000000000010110000111000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010001111010011101000000100000000001000000000000000000000000001010001010000000000000000000111100001110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100110011110100111010000001000000000010000000000000000000000000010100010100000000000000000001111000011100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001100111101001110100000010000000000100000000000000000000000000101000101000000000000000000011110000111000111100000000100000000010100000011111111101001100011101101010000110110100100000011011010010010001111010001101000000000000000000000000000010000000000000001010001010000000000000000000111100001110001111000000001000000000101000000111111111010011000111011010100001101101001000000110110100100100011110100011010000000000000000000000000000100000000000000010100010100000000000000000001000100011100011110000000010000000001010000001111111110100110001110110101000011011010010000001101101001001100111101000110100000000000000000000000000001000000000000000101000101000000000000000000010001000111000111100000000100000000010100000011111111101011100011101101010000110110100100000011011010010011001111010001101000000000000000000000000000010000000000000001010001010000000000000000000100010001110001111000000001000000000101000000111111111010111000111011010100001101101001000000110110100100100011110100111010000000000000000000000000000100000000000000010100010100000000000000000001000100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000000000000000000000000001000000000000000101000101000000000000000000011001000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000000000000000000000000010000000000000001010001010000000000000000000110010001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000000000000000000000000000100000000000000010100010100000000000000000001100100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000010000000000000000000001000000000000000101000101000000000000000000011001000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010001101000000100000000000000000000010000000000000001010001010000000000000000000101010001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000001000000000000000000000100000000000000010100010100000000000000000001010100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000010000000000000000000001000000000000000101000101000000000000000000010101000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000100000000000000000000010000000000000001010001010000000000000000000101010001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000001000000000000000000000100000000000000010100010100000000000000000001110100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000010000000000000000000001000000000000000101000101000000000000000000011101000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000100000000000000000000010000000000000001010001010000000000000000000111010001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000000000000000010000000000100000000000000010100010100000000000000000001110100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000000000000000100000000001000000000000000101000101000000000000000000010011000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000000000000001000000000010000000000000001010001010000000000000000000100110001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000000000000000010000000000100000000000000010100010100000000000000000001001100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000000000000000100000000001000000000000000101000101000000000000000000010011000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000000000000001000000000010000000000000001010001010000000000000000000110110001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000000000000000010000000000100000000000000010100010100000000000000000001101100011100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001100111101001110100000000000000000100000000001000000000000000101000101000000000000000000011011000111000111100000000100000000010000000011111111101011100011101101011000000010100100000011011010010010001111010001101000000100000000001000000000010000000000000001010001010000000000000000000110110001110001111000000001000000000100000000111111111010111000111011010110000000101001000000110110100100100011110100011010000001000000000010000000000100000000000000010100010100000000000000000001011100011100011110000000010000000001000000001111111110101110001110110101100000001010010000001101101001001100111101000110100000010000000000100000000001000000000000000101000101000000000000000000010111000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000100000000001000000000010000000000000001010001010000000000000000000101110001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000001000000000010000000000100000000000000010100010100000000000000000001011100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000010000000000100000000001000000000000000101000101000000000000000000011111000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000100000000001000000000010000000000000001010001010000000000000000000111110001110001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000001000000000010000000000100000000000000010100010100000000000000000001111100011100011110000000010000000001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000000000000000000000000000000000000010000101000101000000000000000000011111000111000111100000000100000000010100000011111111101011100011101101011000000010100100000011011010010010001111010001101000000000000000000000000000000000000000100001010001010000000000000000000100000000001001111000000001000000000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000000000000000000000000000000000000001000010100010100000000000000000001000000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000000000000000000000000000000000000010000101000101000000000000000000010000000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000000000000000000000000000000000000100001010001010000000000000000000100000000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000000000000000000000000000000000000001000010100010100000000000000000001100000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000000000000000000000000000000000000010000101000101000000000000000000011000000000100111100000000100001000010100000011111111101011100010011101011000000010100100000011011010010011001111010011101000000000000000000000000000000000000000100001010001010000000000000000000110000000001001111000000001000010000101000000111111111010111000100111010110000000101001000000110110100100100011110100011010000001000000000000000000000000000000001000010100010100000000000000000001100000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000010000000000000000000000000000000010000101000101000000000000000000010100000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000000000000000000000000000100001010001010000000000000000000101000000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000000000000000000000000001000010100010100000000000000000001010000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000000000000000000000000010000101000101000000000000000000010100000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000000000000000000000000000100001010001010000000000000000000111000000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000001000000000000000000000000000000001000010100010100000000000000000001110000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000010000000000000000000000000000000010000101000101000000000000000000011100000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000000000000001000000000000000000000100001010001010000000000000000000111000000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000000000000000010000000000000000000001000010100010100000000000000000001001000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000000000000000100000000000000000000010000101000101000000000000000000010010000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000000000000001000000000000000000000100001010001010000000000000000000100100000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000000000000000010000000000000000000001000010100010100000000000000000001001000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000000000000000100000000000000000000010000101000101000000000000000000011010000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000000000000001000000000000000000000100001010001010000000000000000000110100000001001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100110011110100111010000000000000000010000000000000000000001000010100010100000000000000000001101000000010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001000111101000110100000010000000000100000000000000000000010000101000101000000000000000000011010000000100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010010001111010001101000000100000000001000000000000000000000100001010001010000000000000000000101100000001001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000010000000000000000000001000010100010100000000000000000001011000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000100000000000000000000010000101000101000000000000000000010110000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000001000000000000000000000100001010001010000000000000000000101100000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000010000000000000000000001000010100010100000000000000000001111000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000010000000000100000000000000000000010000101000101000000000000000000011110000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000100000000001000000000000000000000100001010001010000000000000000000111100000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000000000000000000000000000100000000001000010100010100000000000000000001111000000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000000000000000000000000001000000000010000101000101000000000000000000010001000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000000000000000000000000010000000000100001010001010000000000000000000100010000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000000000000000000000000000100000000001000010100010100000000000000000001000100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000000000000000000000000001000000000010000101000101000000000000000000010001000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000000000000000000000000010000000000100001010001010000000000000000000110010000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000000000000000000000000000100000000001000010100010100000000000000000001100100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000000000000000000000000001000000000010000101000101000000000000000000011001000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000100000000000000000000010000000000100001010001010000000000000000000110010000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000001000000000000000000000100000000001000010100010100000000000000000001010100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000000000000001000000000010000101000101000000000000000000010101000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000000000000000010000000000100001010001010000000000000000000101010000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000000000000000100000000001000010100010100000000000000000001010100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000000000000001000000000010000101000101000000000000000000011101000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000100000000000000000000010000000000100001010001010000000000000000000111010000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100111010000001000000000000000000000100000000001000010100010100000000000000000001110100000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101000110100000000000000000100000000001000000000010000101000101000000000000000000011101000000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010001101000000000000000001000000000010000000000100001010001010000000000000000000100110000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100011010000000000000000010000000000100000000001000010100010100000000000000000001001100000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101000110100000000000000000100000000001000000000010000101000101000000000000000000010011000000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010011101000000000000000001000000000010000000000100001010001010000000000000000000100110000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100111010000000000000000010000000000100000000001000010100010100000000000000000001101100000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101001110100000000000000000100000000001000000000010000101000101000000000000000000011011000000100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010011001111010011101000000000000000001000000000010000000000100001010001010000000000000000000110110000001001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100100011110100011010000001000000000010000000000100000000001000010100010100000000000000000001101100000010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001000111101000110100000010000000000100000000001000000000010000101000101000000000000000000010111000000100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010011001111010001101000000100000000001000000000010000000000100001010001010000000000000000000101110000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100011010000001000000000010000000000100000000001000010100010100000000000000000001011100000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101001110100000010000000000100000000001000000000010000101000101000000000000000000010111000000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010011101000000100000000001000000000010000000000100001010001010000000000000000000111110000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100111010000001000000000010000000000100000000001000010100010100000000000000000001111100000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101001110100000010000000000100000000001000000000010000101000101000000000000000000011111000000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010001101000000000000000000000000000000000000000000000110001010000000000000000000111110000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100011010000000000000000000000000000000000000000000001100010100000000000000000001000010000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101000110100000000000000000000000000000000000000000000011000101000000000000000000010000100000100111100000000100001000010100000011111111101001100011011101011000110110100100100011011010010011001111010001101000000000000000000000000000000000000000000000110001010000000000000000000100001000001001111000000001000010000101000000111111111010011000110111010110001101101001001000110110100100100011110100111010000000000000000000000000000000000000000000001100010100000000000000000001000010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000000000000000000000000000000000000000000011000101000000000000000000011000100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000000000000000000000000000000000000000000110001010000000000000000000110001000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000000000000000000000000000000000000000000001100010100000000000000000001100010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000010000000000000000000000000000000000000011000101000000000000000000011000100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010001101000000100000000000000000000000000000000000000110001010000000000000000000101001000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100011010000001000000000000000000000000000000000000001100010100000000000000000001010010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000010000000000000000000000000000000000000011000101000000000000000000010100100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000100000000000000000000000000000000000000110001010000000000000000000101001000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000001000000000000000000000000000000000000001100010100000000000000000001110010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000010000000000000000000000000000000000000011000101000000000000000000011100100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000100000000000000000000000000000000000000110001010000000000000000000111001000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000000000000000010000000000000000000000000001100010100000000000000000001110010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000000000000000100000000000000000000000000011000101000000000000000000010010100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000000000000001000000000000000000000000000110001010000000000000000000100101000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100011010000000000000000010000000000000000000000000001100010100000000000000000001001010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000000000000000100000000000000000000000000011000101000000000000000000010010100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000000000000001000000000000000000000000000110001010000000000000000000110101000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000000000000000010000000000000000000000000001100010100000000000000000001101010000010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001100111101001110100000000000000000100000000000000000000000000011000101000000000000000000011010100000100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010010001111010001101000000100000000001000000000000000000000000000110001010000000000000000000110101000001001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100100011110100011010000001000000000010000000000000000000000000001100010100000000000000000001011010000010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001100111101000110100000010000000000100000000000000000000000000011000101000000000000000000010110100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000100000000001000000000000000000000000000110001010000000000000000000101101000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000001000000000010000000000000000000000000001100010100000000000000000001011010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000010000000000100000000000000000000000000011000101000000000000000000011110100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000100000000001000000000000000000000000000110001010000000000000000000111101000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000001000000000010000000000000000000000000001100010100000000000000000001111010000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000000000000000000000000001000000000000000011000101000000000000000000011110100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010001101000000000000000000000000000010000000000000000110001010000000000000000000100011000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100011010000000000000000000000000000100000000000000001100010100000000000000000001000110000010011110000000010000100001010000001111111110100000000000000000000000001010010010001101101001001100111101000110100000000000000000000000000001000000000000000011000101000000000000000000010001100000100111100000000100001000010100000011111111101000000000000000000000000010100100100011011010010010001111010011101000000000000000000000000000010000000000000000110001010000000000000000000100011000001001111000000001000010000101000000111111111010000000000000000000011110101001001000110110100100100011110100111010000000000000000000000000000100000000000000001100010100000000000000000001100110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000000000000000000000000001000000000000000011000101000000000000000000011001100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000000000000000000000000010000000000000000110001010000000000000000000110011000001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000001000000000000000000000100000000000000001100010100000000000000000001100110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101000110100000010000000000000000000001000000000000000011000101000000000000000000010101100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010001101000000100000000000000000000010000000000000000110001010000000000000000000101011000001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000001000000000000000000000100000000000000001100010100000000000000000001010110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000010000000000000000000001000000000000000011000101000000000000000000010101100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000100000000000000000000010000000000000000110001010000000000000000000111011000001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000001000000000000000000000100000000000000001100010100000000000000000001110110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000010000000000000000000001000000000000000011000101000000000000000000011101100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000000000000001000000000010000000000000000110001010000000000000000000111011000001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000000000000000010000000000100000000000000001100010100000000000000000001001110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000000000000000100000000001000000000000000011000101000000000000000000010011100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010001101000000000000000001000000000010000000000000000110001010000000000000000000100111000001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000000000000000010000000000100000000000000001100010100000000000000000001001110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000000000000000100000000001000000000000000011000101000000000000000000011011100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000000000000001000000000010000000000000000110001010000000000000000000110111000001001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100110011110100111010000000000000000010000000000100000000000000001100010100000000000000000001101110000010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001000111101000110100000010000000000100000000001000000000000000011000101000000000000000000011011100000100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010010001111010001101000000100000000001000000000010000000000000000110001010000000000000000000101111000001001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100110011110100011010000001000000000010000000000100000000000000001100010100000000000000000001011110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000010000000000100000000001000000000000000011000101000000000000000000010111100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000100000000001000000000010000000000000000110001010000000000000000000101111000001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000001000000000010000000000100000000000000001100010100000000000000000001111110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000010000000000100000000001000000000000000011000101000000000000000000011111100000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000100000000001000000000010000000000000000110001010000000000000000000111111000001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000000000000000000000000000000000000001000001100010100000000000000000001111110000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101000110100000000000000000000000000000000000000010000011000101000000000000000000010000010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010001101000000000000000000000000000000000000000100000110001010000000000000000000100000100001001111000000001000010000101000000111111111010100000000000000000101010101001001000110110100100110011110100011010000000000000000000000000000000000000001000001100010100000000000000000001000001000010011110000000010000100001010000001111111110101000000000000000001010101010010010001101101001001000111101001110100000000000000000000000000000000000000010000011000101000000000000000000010000010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000000000000000000000000000000000000100000110001010000000000000000000110000100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000000000000000000000000000000000000001000001100010100000000000000000001100001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000000000000000000000000000000000000010000011000101000000000000000000011000010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000100000000000000000000000000000000100000110001010000000000000000000110000100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100011010000001000000000000000000000000000000001000001100010100000000000000000001010001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101000110100000010000000000000000000000000000000010000011000101000000000000000000010100010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000100000000000000000000000000000000100000110001010000000000000000000101000100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000001000000000000000000000000000000001000001100010100000000000000000001010001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000010000000000000000000000000000000010000011000101000000000000000000011100010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000100000000000000000000000000000000100000110001010000000000000000000111000100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000001000000000000000000000000000000001000001100010100000000000000000001110001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000000000000000100000000000000000000010000011000101000000000000000000011100010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000000000000001000000000000000000000100000110001010000000000000000000100100100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000000000000000010000000000000000000001000001100010100000000000000000001001001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101000110100000000000000000100000000000000000000010000011000101000000000000000000010010010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000000000000001000000000000000000000100000110001010000000000000000000100100100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000000000000000010000000000000000000001000001100010100000000000000000001101001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000000000000000100000000000000000000010000011000101000000000000000000011010010000100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010011001111010011101000000000000000001000000000000000000000100000110001010000000000000000000110100100001001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100100011110100011010000001000000000010000000000000000000001000001100010100000000000000000001101001000010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001000111101000110100000010000000000100000000000000000000010000011000101000000000000000000010110010000100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010011001111010001101000000100000000001000000000000000000000100000110001010000000000000000000101100100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000001000000000010000000000000000000001000001100010100000000000000000001011001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000010000000000100000000000000000000010000011000101000000000000000000010110010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000100000000001000000000000000000000100000110001010000000000000000000111100100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000001000000000010000000000000000000001000001100010100000000000000000001111001000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000010000000000100000000000000000000010000011000101000000000000000000011110010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000000000000000000000000010000000000100000110001010000000000000000000111100100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100011010000000000000000000000000000100000000001000001100010100000000000000000001000101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101000110100000000000000000000000000001000000000010000011000101000000000000000000010001010000100111100000000100001000010100000011111111101010000001101010111100000010100100100011011010010011001111010001101000000000000000000000000000010000000000100000110001010000000000000000000100010100001001111000000001000010000101000000111111111010100000011010101111000000101001001000110110100100100011110100111010000000000000000000000000000100000000001000001100010100000000000000000001000101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001000111101001110100000000000000000000000000001000000000010000011000101000000000000000000011001010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011001111010011101000000000000000000000000000010000000000100000110001010000000000000000000110010100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100110011110100111010000000000000000000000000000100000000001000001100010100000000000000000001100101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001000111101000110100000010000000000000000000001000000000010000011000101000000000000000000011001010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010001111010001101000000100000000000000000000010000000000100000110001010000000000000000000101010100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100110011110100011010000001000000000000000000000100000000001000001100010100000000000000000001010101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001100111101000110100000010000000000000000000001000000000010000011000101000000000000000000010101010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010001111010011101000000100000000000000000000010000000000100000110001010000000000000000000101010100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100100011110100111010000001000000000000000000000100000000001000001100010100000000000000000001110101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001100111101001110100000010000000000000000000001000000000010000011000101000000000000000000011101010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011001111010011101000000100000000000000000000010000000000100000110001010000000000000000000111010100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100011010000000000000000010000000000100000000001000001100010100000000000000000001110101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101000110100000000000000000100000000001000000000010000011000101000000000000000000010011010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111001111010001101000000000000000001000000000010000000000100000110001010000000000000000000100110100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101110011110100011010000000000000000010000000000100000000001000001100010100000000000000000001001101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101001110100000000000000000100000000001000000000010000011000101000000000000000000010011010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010011101000000000000000001000000000010000000000100000110001010000000000000000000110110100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101110011110100111010000000000000000010000000000100000000001000001100010100000000000000000001101101000010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011100111101001110100000000000000000100000000001000000000010000011000101000000000000000000011011010000100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010110001111010001101000000100000000001000000000010000000000100000110001010000000000000000000110110100001001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101100011110100011010000001000000000010000000000100000000001000001100010100000000000000000001011101000010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011100111101000110100000010000000000100000000001000000000010000011000101000000000000000000010111010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111001111010001101000000100000000001000000000010000000000100000110001010000000000000000000101110100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100111010000001000000000010000000000100000000001000001100010100000000000000000001011101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101001110100000010000000000100000000001000000000010000011000101000000000000000000011111010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111001111010011101000000100000000001000000000010000000000100000110001010000000000000000000111110100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101110011110100111010000001000000000010000000000100000000001000001100010100000000000000000001111101000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101000110100000000000000000000000000000000000000000000111000101000000000000000000011111010000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010001101000000000000000000000000000000000000000000001110001010000000000000000000100001100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101110011110100011010000000000000000000000000000000000000000000011100010100000000000000000001000011000010011110000000010000100001010000001111111110101110001101110101111111111010010110001101101001011100111101000110100000000000000000000000000000000000000000000111000101000000000000000000010000110000100111100000000100001000010100000011111111101011100011011101011111111110100101100011011010010110001111010011101000000000000000000000000000000000000000000001110001010000000000000000000100001100001001111000000001000010000101000000111111111010111000110111010100000000101001011000110110100101100011110100111010000000000000000000000000000000000000000000011100010100000000000000000001100011000010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011100111101001110100000000000000000000000000000000000000000000111000101000000000000000000011000110000100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111001111010011101000000000000000000000000000000000000000000001110001010000000000000000000110001100001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101100011110100011010000001000000000000000000000000000000000000011100010100000000000000000001100011000010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011000111101000110100000010000000000000000000000000000000000000111000101000000000000000000010100110000100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111001111010001101000000100000000000000000000000000000000000001110001010000000000000000000101001100001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101110011110100011010000001000000000000000000000000000000000000011100010100000000000000000001010011000010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011000111101001110100000010000000000000000000000000000000000000111000101000000000000000000010100110000100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110001111010011101000000100000000000000000000000000000000000001110001010000000000000000000111001100001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101110011110100111010000001000000000000000000000000000000000000011100010100000000000000000001110011000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101001110100000010000000000000000000000000000000000000111000101000000000000000000011100110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010001101000000000000000001000000000000000000000000001110001010000000000000000000111001100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100011010000000000000000010000000000000000000000000011100010100000000000000000001001011000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101000110100000000000000000100000000000000000000000000111000101000000000000000000010010110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010001101000000000000000001000000000000000000000000001110001010000000000000000000100101100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100111010000000000000000010000000000000000000000000011100010100000000000000000001001011000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101001110100000000000000000100000000000000000000000000111000101000000000000000000011010110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010011101000000000000000001000000000000000000000000001110001010000000000000000000110101100001001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101110011110100111010000000000000000010000000000000000000000000011100010100000000000000000001101011000010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011000111101000110100000010000000000100000000000000000000000000111000101000000000000000000011010110000100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010110001111010001101000000100000000001000000000000000000000000001110001010000000000000000000101101100001001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101110011110100011010000001000000000010000000000000000000000000011100010100000000000000000001011011000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101000110100000010000000000100000000000000000000000000111000101000000000000000000010110110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010011101000000100000000001000000000000000000000000001110001010000000000000000000101101100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100111010000001000000000010000000000000000000000000011100010100000000000000000001111011000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101001110100000010000000000100000000000000000000000000111000101000000000000000000011110110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010011101000000100000000001000000000000000000000000001110001010000000000000000000111101100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100011010000000000000000000000000000100000000000000011100010100000000000000000001111011000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101000110100000000000000000000000000001000000000000000111000101000000000000000000010001110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010001101000000000000000000000000000010000000000000001110001010000000000000000000100011100001001111000000001000010000101000000111111111010011000101111010110110001101001011011000110100101110011110100011010000000000000000000000000000100000000000000011100010100000000000000000001000111000010011110000000010000100001010000001111111110100110001011110101101100011010010110110001101001011000111101001110100000000000000000000000000001000000000000000111000101000000000000000000010001110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000000000000000000000000010000000000000001110001010000000000000000000110011100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000000000000000000000000000100000000000000011100010100000000000000000001100111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000000000000000000000000001000000000000000111000101000000000000000000011001110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010001101000000100000000000000000000010000000000000001110001010000000000000000000110011100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100011010000001000000000000000000000100000000000000011100010100000000000000000001010111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101000110100000010000000000000000000001000000000000000111000101000000000000000000010101110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000100000000000000000000010000000000000001110001010000000000000000000101011100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000001000000000000000000000100000000000000011100010100000000000000000001010111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000010000000000000000000001000000000000000111000101000000000000000000011101110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000100000000000000000000010000000000000001110001010000000000000000000111011100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000001000000000000000000000100000000000000011100010100000000000000000001110111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000000000000000100000000001000000000000000111000101000000000000000000011101110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010001101000000000000000001000000000010000000000000001110001010000000000000000000100111100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000000000000000010000000000100000000000000011100010100000000000000000001001111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101000110100000000000000000100000000001000000000000000111000101000000000000000000010011110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000000000000001000000000010000000000000001110001010000000000000000000100111100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000000000000000010000000000100000000000000011100010100000000000000000001101111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000000000000000100000000001000000000000000111000101000000000000000000011011110000100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010111001111010011101000000000000000001000000000010000000000000001110001010000000000000000000110111100001001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101100011110100011010000001000000000010000000000100000000000000011100010100000000000000000001101111000010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011000111101000110100000010000000000100000000001000000000000000111000101000000000000000000010111110000100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010111001111010001101000000100000000001000000000010000000000000001110001010000000000000000000101111100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000001000000000010000000000100000000000000011100010100000000000000000001011111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000010000000000100000000001000000000000000111000101000000000000000000010111110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000100000000001000000000010000000000000001110001010000000000000000000111111100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000001000000000010000000000100000000000000011100010100000000000000000001111111000010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000010000000000100000000001000000000000000111000101000000000000000000011111110000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010001101000000000000000000000000000000000000000100001110001010000000000000000000111111100001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100011010000000000000000000000000000000000000001000011100010100000000000000000001000000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101000110100000000000000000000000000000000000000010000111000101000000000000000000010000001000100111100000000100001000010100000011111111101011100010111101011110000010100101101100011010010111001111010001101000000000000000000000000000000000000000100001110001010000000000000000000100000010001001111000000001000010000101000000111111111010111000101111010111100000101001011011000110100101100011110100111010000000000000000000000000000000000000001000011100010100000000000000000001000000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101001110100000000000000000000000000000000000000010000111000101000000000000000000011000001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111001111010011101000000000000000000000000000000000000000100001110001010000000000000000000110000010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100111010000000000000000000000000000000000000001000011100010100000000000000000001100000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101000110100000010000000000000000000000000000000010000111000101000000000000000000011000001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010001101000000100000000000000000000000000000000100001110001010000000000000000000101000010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100011010000001000000000000000000000000000000001000011100010100000000000000000001010000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101000110100000010000000000000000000000000000000010000111000101000000000000000000010100001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010011101000000100000000000000000000000000000000100001110001010000000000000000000101000010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101100011110100111010000001000000000000000000000000000000001000011100010100000000000000000001110000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101001110100000010000000000000000000000000000000010000111000101000000000000000000011100001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111001111010011101000000100000000000000000000000000000000100001110001010000000000000000000111000010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101100011110100011010000000000000000010000000000000000000001000011100010100000000000000000001110000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101000110100000000000000000100000000000000000000010000111000101000000000000000000010010001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111001111010001101000000000000000001000000000000000000000100001110001010000000000000000000100100010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100011010000000000000000010000000000000000000001000011100010100000000000000000001001000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101001110100000000000000000100000000000000000000010000111000101000000000000000000010010001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010011101000000000000000001000000000000000000000100001110001010000000000000000000110100010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100111010000000000000000010000000000000000000001000011100010100000000000000000001101000100010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011100111101001110100000000000000000100000000000000000000010000111000101000000000000000000011010001000100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010110001111010001101000000100000000001000000000000000000000100001110001010000000000000000000110100010001001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101100011110100011010000001000000000010000000000000000000001000011100010100000000000000000001011000100010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011100111101000110100000010000000000100000000000000000000010000111000101000000000000000000010110001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111001111010001101000000100000000001000000000000000000000100001110001010000000000000000000101100010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101100011110100111010000001000000000010000000000000000000001000011100010100000000000000000001011000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101001110100000010000000000100000000000000000000010000111000101000000000000000000011110001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111001111010011101000000100000000001000000000000000000000100001110001010000000000000000000111100010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100111010000001000000000010000000000000000000001000011100010100000000000000000001111000100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101000110100000000000000000000000000001000000000010000111000101000000000000000000011110001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010001101000000000000000000000000000010000000000100001110001010000000000000000000100010010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100011010000000000000000000000000000100000000001000011100010100000000000000000001000100100010011110000000010000100001010000001111111110101000100110000000000001001111111110110001101001011100111101000110100000000000000000000000000001000000000010000111000101000000000000000000010001001000100111100000000100001000010100000011111111111010001001100000000000010011111111101100011010010110001111010011101000000000000000000000000000010000000000100001110001010000000000000000000100010010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100111010000000000000000000000000000100000000001000011100010100000000000000000001100100100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011100111101001110100000000000000000000000000001000000000010000111000101000000000000000000011001001000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010011101000000000000000000000000000010000000000100001110001010000000000000000000110010010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100011010000001000000000000000000000100000000001000011100010100000000000000000001100100100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101000110100000010000000000000000000001000000000010000111000101000000000000000000010101001000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010001101000000100000000000000000000010000000000100001110001010000000000000000000101010010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101110011110100011010000001000000000000000000000100000000001000011100010100000000000000000001010100100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101001110100000010000000000000000000001000000000010000111000101000000000000000000010101001000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110001111010011101000000100000000000000000000010000000000100001110001010000000000000000000111010010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101110011110100111010000001000000000000000000000100000000001000011100010100000000000000000001110100100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011100111101001110100000010000000000000000000001000000000010000111000101000000000000000000011101001000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110001111010001101000000000000000001000000000010000000000100001110001010000000000000000000111010010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100011010000000000000000010000000000100000000001000011100010100000000000000000001001100100010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011100111101000110100000000000000000100000000001000000000010000111000101000000000000000000010011001000100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010111001111010001101000000000000000001000000000010000000000100001110001010000000000000000000100110010001001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101100011110100111010000000000000000010000000000100000000001000011100010100000000000000000001001100100010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011000111101001110100000000000000000100000000001000000000010000111000101000000000000000000011011001000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010011101000000000000000001000000000010000000000100001110001010000000000000000000110110010001001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101110011110100111010000000000000000010000000000100000000001000011100010100000000000000000001101100100010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011000111101000110100000010000000000100000000001000000000010000111000101000000000000000000011011001000100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010110001111010001101000000100000000001000000000010000000000100001110001010000000000000000000101110010001001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101110011110100011010000001000000000010000000000100000000001000011100010100000000000000000001011100100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011100111101000110100000010000000000100000000001000000000010000111000101000000000000000000010111001000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110001111010011101000000100000000001000000000010000000000100001110001010000000000000000000101110010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100111010000001000000000010000000000100000000001000011100010100000000000000000001111100100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011100111101001110100000010000000000100000000001000000000010000111000101000000000000000000011111001000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010011101000000100000000001000000000010000000000100001110001010000000000000000000111110010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100011010000000000000000000000000000000000000000000000010010100000000000000000001111100100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101000110100000000000000000000000000000000000000000000000100101000000000000000000010000101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010001101000000000000000000000000000000000000000000000001001010000000000000000000100001010001001111000000001000010000101000000111111111110011000111111010111111111101001011011000110100101110011110100011010000000000000000000000000000000000000000000000010010100000000000000000001000010100010011110000000010000100001010000001111111110100110001111110101111111111010010110110001101001011000111101001110100000000000000000000000000000000000000000000000100101000000000000000000010000101000100111100000000100001000010100000011111111101001100011111101011111111110100101101100011010010110001111010011101000000000000000000000000000000000000000000000001001010000000000000000000110001010001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101110011110100111010000000000000000000000000000000000000000000000010010100000000000000000001100010100010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011100111101001110100000000000000000000000000000000000000000000000100101000000000000000000011000101000100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110001111010001101000000100000000000000000000000000000000000000001001010000000000000000000110001010001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101100011110100011010000001000000000000000000000000000000000000000010010100000000000000000001010010100010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011100111101000110100000010000000000000000000000000000000000000000100101000000000000000000010100101000100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111001111010001101000000100000000000000000000000000000000000000001001010000000000000000000101001010001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101100011110100111010000001000000000000000000000000000000000000000010010100000000000000000001010010100010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011000111101001110100000010000000000000000000000000000000000000000100101000000000000000000011100101000100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111001111010011101000000100000000000000000000000000000000000000001001010000000000000000000111001010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000001000000000000000000000000000000000000000010010100000000000000000001110010100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101000110100000000000000000100000000000000000000000000000100101000000000000000000011100101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010001101000000000000000001000000000000000000000000000001001010000000000000000000100101010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100011010000000000000000010000000000000000000000000000010010100000000000000000001001010100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101000110100000000000000000100000000000000000000000000000100101000000000000000000010010101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000000000000001000000000000000000000000000001001010000000000000000000100101010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000000000000000010000000000000000000000000000010010100000000000000000001101010100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000000000000000100000000000000000000000000000100101000000000000000000011010101000100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010111001111010011101000000000000000001000000000000000000000000000001001010000000000000000000110101010001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101100011110100011010000001000000000010000000000000000000000000000010010100000000000000000001101010100010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011000111101000110100000010000000000100000000000000000000000000000100101000000000000000000010110101000100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010111001111010001101000000100000000001000000000000000000000000000001001010000000000000000000101101010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100011010000001000000000010000000000000000000000000000010010100000000000000000001011010100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000010000000000100000000000000000000000000000100101000000000000000000010110101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000100000000001000000000000000000000000000001001010000000000000000000111101010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000001000000000010000000000000000000000000000010010100000000000000000001111010100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000010000000000100000000000000000000000000000100101000000000000000000011110101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010001101000000000000000000000000000010000000000000000001001010000000000000000000111101010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100011010000000000000000000000000000100000000000000000010010100000000000000000001000110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101000110100000000000000000000000000001000000000000000000100101000000000000000000010001101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010001101000000000000000000000000000010000000000000000001001010000000000000000000100011010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000000000000000000000000000100000000000000000010010100000000000000000001000110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000000000000000000000000001000000000000000000100101000000000000000000011001101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000000000000000000000000010000000000000000001001010000000000000000000110011010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000000000000000000000000000100000000000000000010010100000000000000000001100110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101000110100000010000000000000000000001000000000000000000100101000000000000000000011001101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010001101000000100000000000000000000010000000000000000001001010000000000000000000101011010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100011010000001000000000000000000000100000000000000000010010100000000000000000001010110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101000110100000010000000000000000000001000000000000000000100101000000000000000000010101101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000100000000000000000000010000000000000000001001010000000000000000000101011010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000001000000000000000000000100000000000000000010010100000000000000000001110110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000010000000000000000000001000000000000000000100101000000000000000000011101101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000100000000000000000000010000000000000000001001010000000000000000000111011010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100100011110100011010000000000000000010000000000100000000000000000010010100000000000000000001110110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001000111101000110100000000000000000100000000001000000000000000000100101000000000000000000010011101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011001111010001101000000000000000001000000000010000000000000000001001010000000000000000000100111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100110011110100011010000000000000000010000000000100000000000000000010010100000000000000000001001110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001000111101001110100000000000000000100000000001000000000000000000100101000000000000000000010011101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010001111010011101000000000000000001000000000010000000000000000001001010000000000000000000110111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100110011110100111010000000000000000010000000000100000000000000000010010100000000000000000001101110100010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001001100111101001110100000000000000000100000000001000000000000000000100101000000000000000000011011101000100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010010001111010001101000000100000000001000000000010000000000000000001001010000000000000000000110111010001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100100100011110100011010000001000000000010000000000100000000000000000010010100000000000000000001011110100010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001001100111101000110100000010000000000100000000001000000000000000000100101000000000000000000010111101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011001111010001101000000100000000001000000000010000000000000000001001010000000000000000000101111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100100011110100111010000001000000000010000000000100000000000000000010010100000000000000000001011110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001000111101001110100000010000000000100000000001000000000000000000100101000000000000000000011111101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011001111010011101000000100000000001000000000010000000000000000001001010000000000000000000111111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100110011110100111010000001000000000010000000000100000000000000000010010100000000000000000001111110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001000111101000110100000000000000000000000000000000000000010000000100101000000000000000000011111101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010001111010001101000000000000000000000000000000000000000100000001001010000000000000000000100000110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100110011110100011010000000000000000000000000000000000000001000000010010100000000000000000001000001100010011110000000010000100001010000001111111110101110001111110101010100111010010001010011101001001100111101000110100000000000000000000000000000000000000010000000100101000000000000000000010000011000100111100000000100001000010100000011111111101011100011111101010101001110100100010100111010010010001111010011101000000000000000000000000000000000000000100000001001010000000000000000000100000110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100100011110100111010000000000000000000000000000000000000001000000010010100000000000000000001100001100010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001100111101001110100000000000000000000000000000000000000010000000100101000000000000000000011000011000100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011001111010011101000000000000000000000000000000000000000100000001001010000000000000000000110000110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100100011110100011010000001000000000000000000000000000000001000000010010100000000000000000001100001100010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001000111101000110100000010000000000000000000000000000000010000000100101000000000000000000010100011000100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011001111010001101000000100000000000000000000000000000000100000001001010000000000000000000101000110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100110011110100011010000001000000000000000000000000000000001000000010010100000000000000000001010001100010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001000111101001110100000010000000000000000000000000000000010000000100101000000000000000000010100011000100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010001111010011101000000100000000000000000000000000000000100000001001010000000000000000000111000110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100110011110100111010000001000000000000000000000000000000001000000010010100000000000000000001110001100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001100111101001110100000010000000000000000000000000000000010000000100101000000000000000000011100011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010001111010001101000000000000000001000000000000000000000100000001001010000000000000000000111000110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100100011110100011010000000000000000010000000000000000000001000000010010100000000000000000001001001100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001100111101000110100000000000000000100000000000000000000010000000100101000000000000000000010010011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010001101000000000000000001000000000000000000000100000001001010000000000000000000100100110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100100011110100111010000000000000000010000000000000000000001000000010010100000000000000000001001001100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001000111101001110100000000000000000100000000000000000000010000000100101000000000000000000011010011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010011101000000000000000001000000000000000000000100000001001010000000000000000000110100110001001111000000001000010000100000000111111111010111000111111010100001011101001000000101110100100110011110100111010000000000000000010000000000000000000001000000010010100000000000000000001101001100010011110000000010000100001000000001111111110101110001111110101000010111010010000001011101001001000111101000110100000010000000000100000000000000000000010000000100101000000000000000000011010011000100111100000000100001000010000000011111111101011100011111101010000101110100100000010111010010010001111010001101000000100000000001000000000000000000000100000001001010000000000000000000101100110001001111000000001000010000100000000111111111010111000111111010100001011101001000000101110100100110011110100011010000001000000000010000000000000000000001000000010010100000000000000000001011001100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001100111101000110100000010000000000100000000000000000000010000000100101000000000000000000010110011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010001111010011101000000100000000001000000000000000000000100000001001010000000000000000000101100110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100100011110100111010000001000000000010000000000000000000001000000010010100000000000000000001111001100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001100111101001110100000010000000000100000000000000000000010000000100101000000000000000000011110011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010011101000000100000000001000000000000000000000100000001001010000000000000000000111100110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100100011110100011010000000000000000000000000000100000000001000000010010100000000000000000001111001100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001000111101000110100000000000000000000000000001000000000010000000100101000000000000000000010001011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010001101000000000000000000000000000010000000000100000001001010000000000000000000100010110001001111000000001000010000101000000111111111010011000100000110100001011101001000000101110100100110011110100011010000000000000000000000000000100000000001000000010010100000000000000000001000101100010011110000000010000100001010000001111111110100110001000001101000010111010010000001011101001001000111101001110100000000000000000000000000001000000000010000000100101000000000000000000010001011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000000000000000000000000010000000000100000001001010000000000000000000110010110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000000000000000000000000000100000000001000000010010100000000000000000001100101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000000000000000000000000001000000000010000000100101000000000000000000011001011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010001101000000100000000000000000000010000000000100000001001010000000000000000000110010110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000001000000000000000000000100000000001000000010010100000000000000000001010101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000010000000000000000000001000000000010000000100101000000000000000000010101011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000100000000000000000000010000000000100000001001010000000000000000000101010110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000001000000000000000000000100000000001000000010010100000000000000000001010101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000010000000000000000000001000000000010000000100101000000000000000000011101011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000100000000000000000000010000000000100000001001010000000000000000000111010110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000001000000000000000000000100000000001000000010010100000000000000000001110101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000000000000000100000000001000000000010000000100101000000000000000000011101011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010001101000000000000000001000000000010000000000100000001001010000000000000000000100110110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000000000000000010000000000100000000001000000010010100000000000000000001001101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000000000000000100000000001000000000010000000100101000000000000000000010011011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000000000000001000000000010000000000100000001001010000000000000000000100110110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000000000000000010000000000100000000001000000010010100000000000000000001101101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000000000000000100000000001000000000010000000100101000000000000000000011011011000100111100000000100001000010000000011111111101001100010000011011010111110100100000010111010010011001111010011101000000000000000001000000000010000000000100000001001010000000000000000000110110110001001111000000001000010000100000000111111111010011000100000110110101111101001000000101110100100100011110100011010000001000000000010000000000100000000001000000010010100000000000000000001101101100010011110000000010000100001000000001111111110100110001000001101101011111010010000001011101001001000111101000110100000010000000000100000000001000000000010000000100101000000000000000000010111011000100111100000000100001000010000000011111111101001100010000011011010111110100100000010111010010011001111010001101000000100000000001000000000010000000000100000001001010000000000000000000101110110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000001000000000010000000000100000000001000000010010100000000000000000001011101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000010000000000100000000001000000000010000000100101000000000000000000010111011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000100000000001000000000010000000000100000001001010000000000000000000111110110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000001000000000010000000000100000000001000000010010100000000000000000001111101100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000010000000000100000000001000000000010000000100101000000000000000000011111011000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010001101000000000000000000000000000000000000000000001001001010000000000000000000111110110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000000000000000000000000000000000000000000010010010100000000000000000001000011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000000000000000000000000000000000000000000100100101000000000000000000010000111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000000000000000000000000000000000000000001001001010000000000000000000100001110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000000000000000000000000000000000000000000010010010100000000000000000001000011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000000000000000000000000000000000000000000100100101000000000000000000011000111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000000000000000000000000000000000000000001001001010000000000000000000110001110001001111000000001000010000101000000111111111010011000111011010110101111101001000000101110100100110011110100111010000000000000000000000000000000000000000000010010010100000000000000000001100011100010011110000000010000100001010000001111111110100110001110110101101011111010010000001011101001001000111101000110100000010000000000000000000000000000000000000100100101000000000000000000011000111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000100000000000000000000000000000000000001001001010000000000000000000101001110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000000000000000000000000000000010010010100000000000000000001010011100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000000000000000000000000000000100100101000000000000000000010100111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000000000000000000000000000000001001001010000000000000000000101001110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000000000000000000000000000000010010010100000000000000000001110011100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000010000000000000000000000000000000000000100100101000000000000000000011100111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000100000000000000000000000000000000000001001001010000000000000000000111001110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000000000000000010000000000000000000000000010010010100000000000000000001110011100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000000000000000100000000000000000000000000100100101000000000000000000010010111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000000000000001000000000000000000000000001001001010000000000000000000100101110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000000000000000010000000000000000000000000010010010100000000000000000001001011100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000000000000000100000000000000000000000000100100101000000000000000000010010111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000000000000001000000000000000000000000001001001010000000000000000000110101110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000000000000000010000000000000000000000000010010010100000000000000000001101011100010011110000000010000100001000000001111111110100110001110110101000011011010010000001011101001001100111101001110100000000000000000100000000000000000000000000100100101000000000000000000011010111000100111100000000100001000010000000011111111101001100011101101010000110110100100000010111010010010001111010001101000000100000000001000000000000000000000000001001001010000000000000000000110101110001001111000000001000010000100000000111111111010011000111011010100001101101001000000101110100100100011110100011010000001000000000010000000000000000000000000010010010100000000000000000001011011100010011110000000010000100001000000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000100000000000000000000000000100100101000000000000000000010110111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000001000000000000000000000000001001001010000000000000000000101101110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000010000000000000000000000000010010010100000000000000000001011011100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000100000000000000000000000000100100101000000000000000000011110111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000100000000001000000000000000000000000001001001010000000000000000000111101110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000001000000000010000000000000000000000000010010010100000000000000000001111011100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000000000000000000000000001000000000000000100100101000000000000000000011110111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000000000000000000000000010000000000000001001001010000000000000000000100011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000000000000000000000000000100000000000000010010010100000000000000000001000111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000000000000000000000000001000000000000000100100101000000000000000000010001111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000000000000000000000000010000000000000001001001010000000000000000000100011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000000000000000000000000000100000000000000010010010100000000000000000001100111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000000000000000000000000001000000000000000100100101000000000000000000011001111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000000000000000000000000010000000000000001001001010000000000000000000110011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000001000000000000000000000100000000000000010010010100000000000000000001100111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000010000000000000000000001000000000000000100100101000000000000000000010101111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000000000000000010000000000000001001001010000000000000000000101011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000000000000000100000000000000010010010100000000000000000001010111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000000000000001000000000000000100100101000000000000000000010101111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000000000000000010000000000000001001001010000000000000000000111011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000001000000000000000000000100000000000000010010010100000000000000000001110111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001100111101001110100000010000000000000000000001000000000000000100100101000000000000000000011101111000100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010001111010001101000000000000000001000000000010000000000000001001001010000000000000000000111011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100100011110100011010000000000000000010000000000100000000000000010010010100000000000000000001001111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001100111101000110100000000000000000100000000001000000000000000100100101000000000000000000010011111000100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010001101000000000000000001000000000010000000000000001001001010000000000000000000100111110001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100100011110100111010000000000000000010000000000100000000000000010010010100000000000000000001001111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001000111101001110100000000000000000100000000001000000000000000100100101000000000000000000011011111000100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010011101000000000000000001000000000010000000000000001001001010000000000000000000110111110001001111000000001000010000100000000111111111010011000111011010100001101101001000000110110100100110011110100111010000000000000000010000000000100000000000000010010010100000000000000000001101111100010011110000000010000100001000000001111111110100110001110110101000011011010010000001101101001001000111101000110100000010000000000100000000001000000000000000100100101000000000000000000011011111000100111100000000100001000010000000011111111101001100011101101010000110110100100000011011010010010001111010001101000000100000000001000000000010000000000000001001001010000000000000000000101111110001001111000000001000010000100000000111111111010011000111011010100001101101001000000110110100100110011110100011010000001000000000010000000000100000000000000010010010100000000000000000001011111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001100111101000110100000010000000000100000000001000000000000000100100101000000000000000000010111111000100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010001111010011101000000100000000001000000000010000000000000001001001010000000000000000000101111110001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100100011110100111010000001000000000010000000000100000000000000010010010100000000000000000001111111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001100111101001110100000010000000000100000000001000000000000000100100101000000000000000000011111111000100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010011101000000100000000001000000000010000000000000001001001010000000000000000000111111110001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100100011110100011010000000000000000000000000000000000000001000010010010100000000000000000001111111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001000111101000110100000000000000000000000000000000000000010000100100101000000000000000000010000000100100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010001101000000000000000000000000000000000000000100001001001010000000000000000000100000001001001111000000001000010000101000000111111111010111000111011010100001101101001000000110110100100110011110100011010000000000000000000000000000000000000001000010010010100000000000000000001000000010010011110000000010000100001010000001111111110101110001110110101000011011010010000001101101001001000111101001110100000000000000000000000000000000000000010000100100101000000000000000000010000000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000000000000000000000000000000000000100001001001010000000000000000000110000001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000000000000000000000000000000000000001000010010010100000000000000000001100000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000000000000000000000000000000000000010000100100101000000000000000000011000000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010001101000000100000000000000000000000000000000100001001001010000000000000000000110000001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000001000000000000000000000000000000001000010010010100000000000000000001010000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000010000000000000000000000000000000010000100100101000000000000000000010100000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000100000000000000000000000000000000100001001001010000000000000000000101000001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000001000000000000000000000000000000001000010010010100000000000000000001010000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000010000000000000000000000000000000010000100100101000000000000000000011100000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000100000000000000000000000000000000100001001001010000000000000000000111000001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000001000000000000000000000000000000001000010010010100000000000000000001110000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000000000000000100000000000000000000010000100100101000000000000000000011100000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010001101000000000000000001000000000000000000000100001001001010000000000000000000100100001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000000000000000010000000000000000000001000010010010100000000000000000001001000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000000000000000100000000000000000000010000100100101000000000000000000010010000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000000000000001000000000000000000000100001001001010000000000000000000100100001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000000000000000010000000000000000000001000010010010100000000000000000001101000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000000000000000100000000000000000000010000100100101000000000000000000011010000100100111100000000100001000010000000011111111101011100011101101011000000010100100000011011010010011001111010011101000000000000000001000000000000000000000100001001001010000000000000000000110100001001001111000000001000010000100000000111111111010111000111011010110000000101001000000110110100100100011110100011010000001000000000010000000000000000000001000010010010100000000000000000001101000010010011110000000010000100001000000001111111110101110001110110101100000001010010000001101101001001000111101000110100000010000000000100000000000000000000010000100100101000000000000000000010110000100100111100000000100001000010000000011111111101011100011101101011000000010100100000011011010010011001111010001101000000100000000001000000000000000000000100001001001010000000000000000000101100001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000001000000000010000000000000000000001000010010010100000000000000000001011000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000010000000000100000000000000000000010000100100101000000000000000000010110000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000100000000001000000000000000000000100001001001010000000000000000000111100001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000001000000000010000000000000000000001000010010010100000000000000000001111000010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000010000000000100000000000000000000010000100100101000000000000000000011110000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010001101000000000000000000000000000010000000000100001001001010000000000000000000111100001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000000000000000000000000000100000000001000010010010100000000000000000001000100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000000000000000000000000001000000000010000100100101000000000000000000010001000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000000000000000000000000010000000000100001001001010000000000000000000100010001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000000000000000000000000000100000000001000010010010100000000000000000001000100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000000000000000000000000001000000000010000100100101000000000000000000011001000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000000000000000000000000010000000000100001001001010000000000000000000110010001001001111000000001000010000101000000111111111010111000100111010110000000101001000000110110100100110011110100111010000000000000000000000000000100000000001000010010010100000000000000000001100100010010011110000000010000100001010000001111111110101110001001110101100000001010010000001101101001001000111101000110100000010000000000000000000001000000000010000100100101000000000000000000011001000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000100000000000000000000010000000000100001001001010000000000000000000101010001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000000000000000100000000001000010010010100000000000000000001010100010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000000000000001000000000010000100100101000000000000000000010101000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000000000000000010000000000100001001001010000000000000000000101010001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000000000000000100000000001000010010010100000000000000000001110100010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000010000000000000000000001000000000010000100100101000000000000000000011101000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000100000000000000000000010000000000100001001001010000000000000000000111010001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000000000000000010000000000100000000001000010010010100000000000000000001110100010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000000000000000100000000001000000000010000100100101000000000000000000010011000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000000000000001000000000010000000000100001001001010000000000000000000100110001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000000000000000010000000000100000000001000010010010100000000000000000001001100010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000000000000000100000000001000000000010000100100101000000000000000000010011000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000000000000001000000000010000000000100001001001010000000000000000000110110001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000000000000000010000000000100000000001000010010010100000000000000000001101100010010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001100111101001110100000000000000000100000000001000000000010000100100101000000000000000000011011000100100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010010001111010001101000000100000000001000000000010000000000100001001001010000000000000000000110110001001001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100100011110100011010000001000000000010000000000100000000001000010010010100000000000000000001011100010010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000100000000001000000000010000100100101000000000000000000010111000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000001000000000010000000000100001001001010000000000000000000101110001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000010000000000100000000001000010010010100000000000000000001011100010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000100000000001000000000010000100100101000000000000000000011111000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000100000000001000000000010000000000100001001001010000000000000000000111110001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000001000000000010000000000100000000001000010010010100000000000000000001111100010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000000000000000000000000000000000000000000010100101000000000000000000011111000100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000000000000000000000000000000000000000000101001010000000000000000000100001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000000000000000000000000000000000000000000001010010100000000000000000001000010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000000000000000000000000000000000000000000010100101000000000000000000010000100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000000000000000000000000000000000000000000101001010000000000000000000100001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000000000000000000000000000000000000000000001010010100000000000000000001100010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000000000000000000000000000000000000000000010100101000000000000000000011000100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000000000000000000000000000000000000000000101001010000000000000000000110001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000001000000000000000000000000000000000000001010010100000000000000000001100010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000010000000000000000000000000000000000000010100101000000000000000000010100100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000000000000000000000000000000000101001010000000000000000000101001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000000000000000000000000000000001010010100000000000000000001010010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000000000000000000000000000000010100101000000000000000000010100100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000000000000000000000000000000000101001010000000000000000000111001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000001000000000000000000000000000000000000001010010100000000000000000001110010010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101001110100000010000000000000000000000000000000000000010100101000000000000000000011100100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010001101000000000000000001000000000000000000000000000101001010000000000000000000111001001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100011010000000000000000010000000000000000000000000001010010100000000000000000001001010010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101000110100000000000000000100000000000000000000000000010100101000000000000000000010010100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010001101000000000000000001000000000000000000000000000101001010000000000000000000100101001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100111010000000000000000010000000000000000000000000001010010100000000000000000001001010010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101001110100000000000000000100000000000000000000000000010100101000000000000000000011010100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010011101000000000000000001000000000000000000000000000101001010000000000000000000110101001001001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100110011110100111010000000000000000010000000000000000000000000001010010100000000000000000001101010010010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001000111101000110100000010000000000100000000000000000000000000010100101000000000000000000011010100100100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010010001111010001101000000100000000001000000000000000000000000000101001010000000000000000000101101001001001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100110011110100011010000001000000000010000000000000000000000000001010010100000000000000000001011010010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101000110100000010000000000100000000000000000000000000010100101000000000000000000010110100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010011101000000100000000001000000000000000000000000000101001010000000000000000000101101001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100111010000001000000000010000000000000000000000000001010010100000000000000000001111010010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001100111101001110100000010000000000100000000000000000000000000010100101000000000000000000011110100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010011101000000100000000001000000000000000000000000000101001010000000000000000000111101001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100011010000000000000000000000000000100000000000000001010010100000000000000000001111010010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101000110100000000000000000000000000001000000000000000010100101000000000000000000010001100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010001101000000000000000000000000000010000000000000000101001010000000000000000000100011001001001111000000001000010000101000000111111111010011000110111010110001101101001001000110110100100110011110100011010000000000000000000000000000100000000000000001010010100000000000000000001000110010010011110000000010000100001010000001111111110100110001101110101100011011010010010001101101001001000111101001110100000000000000000000000000001000000000000000010100101000000000000000000010001100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000000000000000000000000010000000000000000101001010000000000000000000110011001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000000000000000000000000000100000000000000001010010100000000000000000001100110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000000000000000000000000001000000000000000010100101000000000000000000011001100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010001101000000100000000000000000000010000000000000000101001010000000000000000000110011001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000001000000000000000000000100000000000000001010010100000000000000000001010110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000010000000000000000000001000000000000000010100101000000000000000000010101100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000100000000000000000000010000000000000000101001010000000000000000000101011001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000001000000000000000000000100000000000000001010010100000000000000000001010110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000010000000000000000000001000000000000000010100101000000000000000000011101100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000100000000000000000000010000000000000000101001010000000000000000000111011001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000001000000000000000000000100000000000000001010010100000000000000000001110110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000000000000000100000000001000000000000000010100101000000000000000000011101100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010001101000000000000000001000000000010000000000000000101001010000000000000000000100111001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100011010000000000000000010000000000100000000000000001010010100000000000000000001001110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000000000000000100000000001000000000000000010100101000000000000000000010011100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000000000000001000000000010000000000000000101001010000000000000000000100111001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000000000000000010000000000100000000000000001010010100000000000000000001101110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000000000000000100000000001000000000000000010100101000000000000000000011011100100100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010011001111010011101000000000000000001000000000010000000000000000101001010000000000000000000110111001001001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100100011110100011010000001000000000010000000000100000000000000001010010100000000000000000001101110010010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001000111101000110100000010000000000100000000001000000000000000010100101000000000000000000010111100100100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010011001111010001101000000100000000001000000000010000000000000000101001010000000000000000000101111001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100011010000001000000000010000000000100000000000000001010010100000000000000000001011110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000010000000000100000000001000000000000000010100101000000000000000000010111100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000100000000001000000000010000000000000000101001010000000000000000000111111001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000001000000000010000000000100000000000000001010010100000000000000000001111110010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000010000000000100000000001000000000000000010100101000000000000000000011111100100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010001101000000000000000000000000000000000000000100000101001010000000000000000000111111001001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000000000000000000000000000000000000001000001010010100000000000000000001000001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000000000000000000000000000000000000010000010100101000000000000000000010000010100100111100000000100001000010100000011111111101000000000000000000000000010100100100011011010010011001111010001101000000000000000000000000000000000000000100000101001010000000000000000000100000101001001111000000001000010000101000000111111111010000000000000000000000000101001001000110110100100100011110100111010000000000000000000000000000000000000001000001010010100000000000000000001000001010010011110000000010000100001010000001111111110100000000000000000000111101010010010001101101001001000111101001110100000000000000000000000000000000000000010000010100101000000000000000000011000010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000000000000000000000000000000000000100000101001010000000000000000000110000101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000000000000000000000000000000000000001000001010010100000000000000000001100001010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101000110100000010000000000000000000000000000000010000010100101000000000000000000011000010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000100000000000000000000000000000000100000101001010000000000000000000101000101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000001000000000000000000000000000000001000001010010100000000000000000001010001010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000010000000000000000000000000000000010000010100101000000000000000000010100010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000100000000000000000000000000000000100000101001010000000000000000000101000101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000001000000000000000000000000000000001000001010010100000000000000000001110001010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000010000000000000000000000000000000010000010100101000000000000000000011100010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000100000000000000000000000000000000100000101001010000000000000000000111000101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000000000000000010000000000000000000001000001010010100000000000000000001110001010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101000110100000000000000000100000000000000000000010000010100101000000000000000000010010010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010001101000000000000000001000000000000000000000100000101001010000000000000000000100100101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000000000000000010000000000000000000001000001010010100000000000000000001001001010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000000000000000100000000000000000000010000010100101000000000000000000010010010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000000000000001000000000000000000000100000101001010000000000000000000110100101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000000000000000010000000000000000000001000001010010100000000000000000001101001010010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001100111101001110100000000000000000100000000000000000000010000010100101000000000000000000011010010100100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010010001111010001101000000100000000001000000000000000000000100000101001010000000000000000000110100101001001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100100011110100011010000001000000000010000000000000000000001000001010010100000000000000000001011001010010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001100111101000110100000010000000000100000000000000000000010000010100101000000000000000000010110010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010001101000000100000000001000000000000000000000100000101001010000000000000000000101100101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000001000000000010000000000000000000001000001010010100000000000000000001011001010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000010000000000100000000000000000000010000010100101000000000000000000011110010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000100000000001000000000000000000000100000101001010000000000000000000111100101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000001000000000010000000000000000000001000001010010100000000000000000001111001010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101000110100000000000000000000000000001000000000010000010100101000000000000000000011110010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000000000000000000000000010000000000100000101001010000000000000000000100010101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000000000000000000000000000100000000001000001010010100000000000000000001000101010010011110000000010000100001010000001111111110101000000000000000001010101010010010001101101001001100111101000110100000000000000000000000000001000000000010000010100101000000000000000000010001010100100111100000000100001000010100000011111111101010000000000000000010101010100100100011011010010010001111010011101000000000000000000000000000010000000000100000101001010000000000000000000100010101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000000000000000000000000000100000000001000001010010100000000000000000001100101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000000000000000000000000001000000000010000010100101000000000000000000011001010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000000000000000000000000010000000000100000101001010000000000000000000110010101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100011010000001000000000000000000000100000000001000001010010100000000000000000001100101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000010000000000000000000001000000000010000010100101000000000000000000010101010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000100000000000000000000010000000000100000101001010000000000000000000101010101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000001000000000000000000000100000000001000001010010100000000000000000001010101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000010000000000000000000001000000000010000010100101000000000000000000010101010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000100000000000000000000010000000000100000101001010000000000000000000111010101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000001000000000000000000000100000000001000001010010100000000000000000001110101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000010000000000000000000001000000000010000010100101000000000000000000011101010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000000000000001000000000010000000000100000101001010000000000000000000111010101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100011010000000000000000010000000000100000000001000001010010100000000000000000001001101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101000110100000000000000000100000000001000000000010000010100101000000000000000000010011010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000000000000001000000000010000000000100000101001010000000000000000000100110101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000000000000000010000000000100000000001000001010010100000000000000000001001101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000000000000000100000000001000000000010000010100101000000000000000000011011010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000000000000001000000000010000000000100000101001010000000000000000000110110101001001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100110011110100111010000000000000000010000000000100000000001000001010010100000000000000000001101101010010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001000111101000110100000010000000000100000000001000000000010000010100101000000000000000000011011010100100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010010001111010001101000000100000000001000000000010000000000100000101001010000000000000000000101110101001001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100110011110100011010000001000000000010000000000100000000001000001010010100000000000000000001011101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101000110100000010000000000100000000001000000000010000010100101000000000000000000010111010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000100000000001000000000010000000000100000101001010000000000000000000101110101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000001000000000010000000000100000000001000001010010100000000000000000001111101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000010000000000100000000001000000000010000010100101000000000000000000011111010100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000100000000001000000000010000000000100000101001010000000000000000000111110101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100011010000000000000000000000000000000000000000000011010010100000000000000000001111101010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000000000000000000000000000000000000000000110100101000000000000000000010000110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000000000000000000000000000000000000000001101001010000000000000000000100001101001001111000000001000010000101000000111111111010100000011010101111000000101001001000110110100100110011110100011010000000000000000000000000000000000000000000011010010100000000000000000001000011010010011110000000010000100001010000001111111110101000000110101011110000001010010010001101101001001000111101001110100000000000000000000000000000000000000000000110100101000000000000000000010000110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010001111010011101000000000000000000000000000000000000000000001101001010000000000000000000110001101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100110011110100111010000000000000000000000000000000000000000000011010010100000000000000000001100011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001100111101001110100000000000000000000000000000000000000000000110100101000000000000000000011000110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010001111010001101000000100000000000000000000000000000000000001101001010000000000000000000110001101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100100011110100011010000001000000000000000000000000000000000000011010010100000000000000000001010011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001100111101000110100000010000000000000000000000000000000000000110100101000000000000000000010100110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011001111010001101000000100000000000000000000000000000000000001101001010000000000000000000101001101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100100011110100111010000001000000000000000000000000000000000000011010010100000000000000000001010011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001000111101001110100000010000000000000000000000000000000000000110100101000000000000000000011100110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011001111010011101000000100000000000000000000000000000000000001101001010000000000000000000111001101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100110011110100111010000001000000000000000000000000000000000000011010010100000000000000000001110011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101000110100000000000000000100000000000000000000000000110100101000000000000000000011100110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010001101000000000000000001000000000000000000000000001101001010000000000000000000100101101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101110011110100011010000000000000000010000000000000000000000000011010010100000000000000000001001011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011100111101000110100000000000000000100000000000000000000000000110100101000000000000000000010010110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010011101000000000000000001000000000000000000000000001101001010000000000000000000100101101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100111010000000000000000010000000000000000000000000011010010100000000000000000001101011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011100111101001110100000000000000000100000000000000000000000000110100101000000000000000000011010110100100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010111001111010011101000000000000000001000000000000000000000000001101001010000000000000000000110101101001001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101100011110100011010000001000000000010000000000000000000000000011010010100000000000000000001101011010010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011000111101000110100000010000000000100000000000000000000000000110100101000000000000000000010110110100100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010111001111010001101000000100000000001000000000000000000000000001101001010000000000000000000101101101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101110011110100011010000001000000000010000000000000000000000000011010010100000000000000000001011011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101001110100000010000000000100000000000000000000000000110100101000000000000000000010110110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010011101000000100000000001000000000000000000000000001101001010000000000000000000111101101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101110011110100111010000001000000000010000000000000000000000000011010010100000000000000000001111011010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011100111101001110100000010000000000100000000000000000000000000110100101000000000000000000011110110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010001101000000000000000000000000000010000000000000001101001010000000000000000000111101101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100011010000000000000000000000000000100000000000000011010010100000000000000000001000111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011100111101000110100000000000000000000000000001000000000000000110100101000000000000000000010001110100100111100000000100001000010100000011111111101011100011011101011111111110100101100011011010010111001111010001101000000000000000000000000000010000000000000001101001010000000000000000000100011101001001111000000001000010000101000000111111111010111000110111010111111111101001011000110110100101100011110100111010000000000000000000000000000100000000000000011010010100000000000000000001000111010010011110000000010000100001010000001111111110101110001101110101000000001010010110001101101001011000111101001110100000000000000000000000000001000000000000000110100101000000000000000000011001110100100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111001111010011101000000000000000000000000000010000000000000001101001010000000000000000000110011101001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101110011110100111010000000000000000000000000000100000000000000011010010100000000000000000001100111010010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011000111101000110100000010000000000000000000001000000000000000110100101000000000000000000011001110100100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110001111010001101000000100000000000000000000010000000000000001101001010000000000000000000101011101001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101110011110100011010000001000000000000000000000100000000000000011010010100000000000000000001010111010010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011100111101000110100000010000000000000000000001000000000000000110100101000000000000000000010101110100100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110001111010011101000000100000000000000000000010000000000000001101001010000000000000000000101011101001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101100011110100111010000001000000000000000000000100000000000000011010010100000000000000000001110111010010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011100111101001110100000010000000000000000000001000000000000000110100101000000000000000000011101110100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010011101000000100000000000000000000010000000000000001101001010000000000000000000111011101001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100011010000000000000000010000000000100000000000000011010010100000000000000000001110111010010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101000110100000000000000000100000000001000000000000000110100101000000000000000000010011110100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010001101000000000000000001000000000010000000000000001101001010000000000000000000100111101001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100011010000000000000000010000000000100000000000000011010010100000000000000000001001111010010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101001110100000000000000000100000000001000000000000000110100101000000000000000000010011110100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010011101000000000000000001000000000010000000000000001101001010000000000000000000110111101001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100111010000000000000000010000000000100000000000000011010010100000000000000000001101111010010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011100111101001110100000000000000000100000000001000000000000000110100101000000000000000000011011110100100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010110001111010001101000000100000000001000000000010000000000000001101001010000000000000000000110111101001001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101100011110100011010000001000000000010000000000100000000000000011010010100000000000000000001011111010010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011100111101000110100000010000000000100000000001000000000000000110100101000000000000000000010111110100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010001101000000100000000001000000000010000000000000001101001010000000000000000000101111101001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100111010000001000000000010000000000100000000000000011010010100000000000000000001011111010010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101001110100000010000000000100000000001000000000000000110100101000000000000000000011111110100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111001111010011101000000100000000001000000000010000000000000001101001010000000000000000000111111101001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100111010000001000000000010000000000100000000000000011010010100000000000000000001111111010010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101000110100000000000000000000000000000000000000010000110100101000000000000000000011111110100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010001101000000000000000000000000000000000000000100001101001010000000000000000000100000011001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100011010000000000000000000000000000000000000001000011010010100000000000000000001000000110010011110000000010000100001010000001111111110100110001011110101101100011010010110110001101001011100111101000110100000000000000000000000000000000000000010000110100101000000000000000000010000001100100111100000000100001000010100000011111111101001100010111101011011000110100101101100011010010110001111010011101000000000000000000000000000000000000000100001101001010000000000000000000100000011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000000000000000000000000000000000000001000011010010100000000000000000001100000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000000000000000000000000000000000000010000110100101000000000000000000011000001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000000000000000000000000000000000000100001101001010000000000000000000110000011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100011010000001000000000000000000000000000000001000011010010100000000000000000001100000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000010000000000000000000000000000000010000110100101000000000000000000010100001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000100000000000000000000000000000000100001101001010000000000000000000101000011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000001000000000000000000000000000000001000011010010100000000000000000001010000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000010000000000000000000000000000000010000110100101000000000000000000010100001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000100000000000000000000000000000000100001101001010000000000000000000111000011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000001000000000000000000000000000000001000011010010100000000000000000001110000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000010000000000000000000000000000000010000110100101000000000000000000011100001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010001101000000000000000001000000000000000000000100001101001010000000000000000000111000011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100011010000000000000000010000000000000000000001000011010010100000000000000000001001000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101000110100000000000000000100000000000000000000010000110100101000000000000000000010010001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000000000000001000000000000000000000100001101001010000000000000000000100100011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000000000000000010000000000000000000001000011010010100000000000000000001001000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000000000000000100000000000000000000010000110100101000000000000000000011010001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000000000000001000000000000000000000100001101001010000000000000000000110100011001001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101110011110100111010000000000000000010000000000000000000001000011010010100000000000000000001101000110010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011000111101000110100000010000000000100000000000000000000010000110100101000000000000000000011010001100100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010110001111010001101000000100000000001000000000000000000000100001101001010000000000000000000101100011001001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101110011110100011010000001000000000010000000000000000000001000011010010100000000000000000001011000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101000110100000010000000000100000000000000000000010000110100101000000000000000000010110001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000100000000001000000000000000000000100001101001010000000000000000000101100011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000001000000000010000000000000000000001000011010010100000000000000000001111000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000010000000000100000000000000000000010000110100101000000000000000000011110001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000100000000001000000000000000000000100001101001010000000000000000000111100011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100011010000000000000000000000000000100000000001000011010010100000000000000000001111000110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000000000000000000000000001000000000010000110100101000000000000000000010001001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000000000000000000000000010000000000100001101001010000000000000000000100010011001001111000000001000010000101000000111111111010111000101111010111100000101001011011000110100101110011110100011010000000000000000000000000000100000000001000011010010100000000000000000001000100110010011110000000010000100001010000001111111110101110001011110101111000001010010110110001101001011000111101001110100000000000000000000000000001000000000010000110100101000000000000000000010001001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010011101000000000000000000000000000010000000000100001101001010000000000000000000110010011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100111010000000000000000000000000000100000000001000011010010100000000000000000001100100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101001110100000000000000000000000000001000000000010000110100101000000000000000000011001001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010001101000000100000000000000000000010000000000100001101001010000000000000000000110010011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101100011110100011010000001000000000000000000000100000000001000011010010100000000000000000001010100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101000110100000010000000000000000000001000000000010000110100101000000000000000000010101001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111001111010001101000000100000000000000000000010000000000100001101001010000000000000000000101010011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101100011110100111010000001000000000000000000000100000000001000011010010100000000000000000001010100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101001110100000010000000000000000000001000000000010000110100101000000000000000000011101001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111001111010011101000000100000000000000000000010000000000100001101001010000000000000000000111010011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100111010000001000000000000000000000100000000001000011010010100000000000000000001110100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101000110100000000000000000100000000001000000000010000110100101000000000000000000011101001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010001101000000000000000001000000000010000000000100001101001010000000000000000000100110011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100011010000000000000000010000000000100000000001000011010010100000000000000000001001100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101000110100000000000000000100000000001000000000010000110100101000000000000000000010011001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010011101000000000000000001000000000010000000000100001101001010000000000000000000100110011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101100011110100111010000000000000000010000000000100000000001000011010010100000000000000000001101100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101001110100000000000000000100000000001000000000010000110100101000000000000000000011011001100100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010111001111010011101000000000000000001000000000010000000000100001101001010000000000000000000110110011001001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101100011110100011010000001000000000010000000000100000000001000011010010100000000000000000001101100110010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011000111101000110100000010000000000100000000001000000000010000110100101000000000000000000010111001100100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010111001111010001101000000100000000001000000000010000000000100001101001010000000000000000000101110011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100011010000001000000000010000000000100000000001000011010010100000000000000000001011100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011000111101001110100000010000000000100000000001000000000010000110100101000000000000000000010111001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010011101000000100000000001000000000010000000000100001101001010000000000000000000111110011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101110011110100111010000001000000000010000000000100000000001000011010010100000000000000000001111100110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101001110100000010000000000100000000001000000000010000110100101000000000000000000011111001100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110001111010001101000000000000000000000000000000000000000000000011001010000000000000000000111110011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101100011110100011010000000000000000000000000000000000000000000000110010100000000000000000001000010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011100111101000110100000000000000000000000000000000000000000000001100101000000000000000000010000101100100111100000000100001000010100000011111111101010001001100000000000010011111111101100011010010111001111010001101000000000000000000000000000000000000000000000011001010000000000000000000100001011001001111000000001000010000101000000111111111110100010011000000000000100111111111011000110100101100011110100111010000000000000000000000000000000000000000000000110010100000000000000000001000010110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101001110100000000000000000000000000000000000000000000001100101000000000000000000011000101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010011101000000000000000000000000000000000000000000000011001010000000000000000000110001011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101110011110100111010000000000000000000000000000000000000000000000110010100000000000000000001100010110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101000110100000010000000000000000000000000000000000000001100101000000000000000000011000101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110001111010001101000000100000000000000000000000000000000000000011001010000000000000000000101001011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101110011110100011010000001000000000000000000000000000000000000000110010100000000000000000001010010110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011100111101000110100000010000000000000000000000000000000000000001100101000000000000000000010100101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110001111010011101000000100000000000000000000000000000000000000011001010000000000000000000101001011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100111010000001000000000000000000000000000000000000000110010100000000000000000001110010110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011100111101001110100000010000000000000000000000000000000000000001100101000000000000000000011100101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010011101000000100000000000000000000000000000000000000011001010000000000000000000111001011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100011010000000000000000010000000000000000000000000000110010100000000000000000001110010110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101000110100000000000000000100000000000000000000000000001100101000000000000000000010010101100100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010111001111010001101000000000000000001000000000000000000000000000011001010000000000000000000100101011001001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101110011110100011010000000000000000010000000000000000000000000000110010100000000000000000001001010110010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011000111101001110100000000000000000100000000000000000000000000001100101000000000000000000010010101100100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010110001111010011101000000000000000001000000000000000000000000000011001010000000000000000000110101011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101110011110100111010000000000000000010000000000000000000000000000110010100000000000000000001101010110010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011100111101001110100000000000000000100000000000000000000000000001100101000000000000000000011010101100100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010110001111010001101000000100000000001000000000000000000000000000011001010000000000000000000110101011001001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101100011110100011010000001000000000010000000000000000000000000000110010100000000000000000001011010110010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011100111101000110100000010000000000100000000000000000000000000001100101000000000000000000010110101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010001101000000100000000001000000000000000000000000000011001010000000000000000000101101011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101100011110100111010000001000000000010000000000000000000000000000110010100000000000000000001011010110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101001110100000010000000000100000000000000000000000000001100101000000000000000000011110101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111001111010011101000000100000000001000000000000000000000000000011001010000000000000000000111101011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101110011110100111010000001000000000010000000000000000000000000000110010100000000000000000001111010110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011000111101000110100000000000000000000000000001000000000000000001100101000000000000000000011110101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110001111010001101000000000000000000000000000010000000000000000011001010000000000000000000100011011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101110011110100011010000000000000000000000000000100000000000000000110010100000000000000000001000110110010011110000000010000100001010000001111111111100110001111110101111111111010010110110001101001011100111101000110100000000000000000000000000001000000000000000001100101000000000000000000010001101100100111100000000100001000010100000011111111101001100011111101011111111110100101101100011010010110001111010011101000000000000000000000000000010000000000000000011001010000000000000000000100011011001001111000000001000010000101000000111111111010011000111111010111111111101001011011000110100101100011110100111010000000000000000000000000000100000000000000000110010100000000000000000001100110110010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011100111101001110100000000000000000000000000001000000000000000001100101000000000000000000011001101100100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111001111010011101000000000000000000000000000010000000000000000011001010000000000000000000110011011001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101100011110100011010000001000000000000000000000100000000000000000110010100000000000000000001100110110010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011000111101000110100000010000000000000000000001000000000000000001100101000000000000000000010101101100100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111001111010001101000000100000000000000000000010000000000000000011001010000000000000000000101011011001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101110011110100011010000001000000000000000000000100000000000000000110010100000000000000000001010110110010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011000111101001110100000010000000000000000000001000000000000000001100101000000000000000000010101101100100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110001111010011101000000100000000000000000000010000000000000000011001010000000000000000000111011011001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101110011110100111010000001000000000000000000000100000000000000000110010100000000000000000001110110110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000010000000000000000000001000000000000000001100101000000000000000000011101101100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010001101000000000000000001000000000010000000000000000011001010000000000000000000111011011001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100011010000000000000000010000000000100000000000000000110010100000000000000000001001110110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101000110100000000000000000100000000001000000000000000001100101000000000000000000010011101100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010001101000000000000000001000000000010000000000000000011001010000000000000000000100111011001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000000000000000010000000000100000000000000000110010100000000000000000001001110110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000000000000000100000000001000000000000000001100101000000000000000000011011101100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000000000000001000000000010000000000000000011001010000000000000000000110111011001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101110011110100111010000000000000000010000000000100000000000000000110010100000000000000000001101110110010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011000111101000110100000010000000000100000000001000000000000000001100101000000000000000000011011101100100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010110001111010001101000000100000000001000000000010000000000000000011001010000000000000000000101111011001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101110011110100011010000001000000000010000000000100000000000000000110010100000000000000000001011110110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101000110100000010000000000100000000001000000000000000001100101000000000000000000010111101100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000100000000001000000000010000000000000000011001010000000000000000000101111011001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000001000000000010000000000100000000000000000110010100000000000000000001111110110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000010000000000100000000001000000000000000001100101000000000000000000011111101100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000100000000001000000000010000000000000000011001010000000000000000000111111011001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100011010000000000000000000000000000000000000001000000110010100000000000000000001111110110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101000110100000000000000000000000000000000000000010000001100101000000000000000000010000011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010001101000000000000000000000000000000000000000100000011001010000000000000000000100000111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100011010000000000000000000000000000000000000001000000110010100000000000000000001000001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000000000000000000000000000000000000010000001100101000000000000000000010000011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010011101000000000000000000000000000000000000000100000011001010000000000000000000110000111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000000000000000000000000000000000000001000000110010100000000000000000001100001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101001110100000000000000000000000000000000000000010000001100101000000000000000000011000011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110001111010001101000000100000000000000000000000000000000100000011001010000000000000000000110000111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100011010000001000000000000000000000000000000001000000110010100000000000000000001010001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011100111101000110100000010000000000000000000000000000000010000001100101000000000000000000010100011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010001101000000100000000000000000000000000000000100000011001010000000000000000000101000111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101100011110100111010000001000000000000000000000000000000001000000110010100000000000000000001010001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011000111101001110100000010000000000000000000000000000000010000001100101000000000000000000011100011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111001111010011101000000100000000000000000000000000000000100000011001010000000000000000000111000111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101110011110100111010000001000000000000000000000000000000001000000110010100000000000000000001110001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001000111101000110100000000000000000100000000000000000000010000001100101000000000000000000011100011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010001111010001101000000000000000001000000000000000000000100000011001010000000000000000000100100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100110011110100011010000000000000000010000000000000000000001000000110010100000000000000000001001001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001100111101000110100000000000000000100000000000000000000010000001100101000000000000000000010010011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010001111010011101000000000000000001000000000000000000000100000011001010000000000000000000100100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100100011110100111010000000000000000010000000000000000000001000000110010100000000000000000001101001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001100111101001110100000000000000000100000000000000000000010000001100101000000000000000000011010011100100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010011001111010011101000000000000000001000000000000000000000100000011001010000000000000000000110100111001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100100100011110100011010000001000000000010000000000000000000001000000110010100000000000000000001101001110010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001001000111101000110100000010000000000100000000000000000000010000001100101000000000000000000010110011100100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010011001111010001101000000100000000001000000000000000000000100000011001010000000000000000000101100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100110011110100011010000001000000000010000000000000000000001000000110010100000000000000000001011001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001000111101001110100000010000000000100000000000000000000010000001100101000000000000000000010110011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010001111010011101000000100000000001000000000000000000000100000011001010000000000000000000111100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100110011110100111010000001000000000010000000000000000000001000000110010100000000000000000001111001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001100111101001110100000010000000000100000000000000000000010000001100101000000000000000000011110011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010001111010001101000000000000000000000000000010000000000100000011001010000000000000000000111100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100100011110100011010000000000000000000000000000100000000001000000110010100000000000000000001000101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001100111101000110100000000000000000000000000001000000000010000001100101000000000000000000010001011100100111100000000100001000010100000011111111101011100011111101010101001110100100010100111010010011001111010001101000000000000000000000000000010000000000100000011001010000000000000000000100010111001001111000000001000010000101000000111111111010111000111111010101010011101001000101001110100100100011110100111010000000000000000000000000000100000000001000000110010100000000000000000001000101110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001000111101001110100000000000000000000000000001000000000010000001100101000000000000000000011001011100100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011001111010011101000000000000000000000000000010000000000100000011001010000000000000000000110010111001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100110011110100111010000000000000000000000000000100000000001000000110010100000000000000000001100101110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001000111101000110100000010000000000000000000001000000000010000001100101000000000000000000011001011100100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010001111010001101000000100000000000000000000010000000000100000011001010000000000000000000101010111001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100110011110100011010000001000000000000000000000100000000001000000110010100000000000000000001010101110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001100111101000110100000010000000000000000000001000000000010000001100101000000000000000000010101011100100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010001111010011101000000100000000000000000000010000000000100000011001010000000000000000000101010111001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100100011110100111010000001000000000000000000000100000000001000000110010100000000000000000001110101110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001100111101001110100000010000000000000000000001000000000010000001100101000000000000000000011101011100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010011101000000100000000000000000000010000000000100000011001010000000000000000000111010111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100100011110100011010000000000000000010000000000100000000001000000110010100000000000000000001110101110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001000111101000110100000000000000000100000000001000000000010000001100101000000000000000000010011011100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010001101000000000000000001000000000010000000000100000011001010000000000000000000100110111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100110011110100011010000000000000000010000000000100000000001000000110010100000000000000000001001101110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001000111101001110100000000000000000100000000001000000000010000001100101000000000000000000010011011100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010001111010011101000000000000000001000000000010000000000100000011001010000000000000000000110110111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100110011110100111010000000000000000010000000000100000000001000000110010100000000000000000001101101110010011110000000010000100001000000001111111110101110001111110101000010111010010000001011101001001100111101001110100000000000000000100000000001000000000010000001100101000000000000000000011011011100100111100000000100001000010000000011111111101011100011111101010000101110100100000010111010010010001111010001101000000100000000001000000000010000000000100000011001010000000000000000000110110111001001111000000001000010000100000000111111111010111000111111010100001011101001000000101110100100100011110100011010000001000000000010000000000100000000001000000110010100000000000000000001011101110010011110000000010000100001000000001111111110101110001111110101000010111010010000001011101001001100111101000110100000010000000000100000000001000000000010000001100101000000000000000000010111011100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010001101000000100000000001000000000010000000000100000011001010000000000000000000101110111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100100011110100111010000001000000000010000000000100000000001000000110010100000000000000000001011101110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001000111101001110100000010000000000100000000001000000000010000001100101000000000000000000011111011100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011001111010011101000000100000000001000000000010000000000100000011001010000000000000000000111110111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100110011110100111010000001000000000010000000000100000000001000000110010100000000000000000001111101110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001000111101000110100000000000000000000000000000000000000000000101100101000000000000000000011111011100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010001111010001101000000000000000000000000000000000000000000001011001010000000000000000000100001111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100110011110100011010000000000000000000000000000000000000000000010110010100000000000000000001000011110010011110000000010000100001010000001111111110100110001000001101000010111010010000001011101001001100111101000110100000000000000000000000000000000000000000000101100101000000000000000000010000111100100111100000000100001000010100000011111111101001100010000011010000101110100100000010111010010010001111010011101000000000000000000000000000000000000000000001011001010000000000000000000100001111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000000000000000000000000000000000000000000010110010100000000000000000001100011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000000000000000000000000000000000000000000101100101000000000000000000011000111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000000000000000000000000000000000000000001011001010000000000000000000110001111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000001000000000000000000000000000000000000010110010100000000000000000001100011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000010000000000000000000000000000000000000101100101000000000000000000010100111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000100000000000000000000000000000000000001011001010000000000000000000101001111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000001000000000000000000000000000000000000010110010100000000000000000001010011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000010000000000000000000000000000000000000101100101000000000000000000010100111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000100000000000000000000000000000000000001011001010000000000000000000111001111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000001000000000000000000000000000000000000010110010100000000000000000001110011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000010000000000000000000000000000000000000101100101000000000000000000011100111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010001101000000000000000001000000000000000000000000001011001010000000000000000000111001111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000000000000000010000000000000000000000000010110010100000000000000000001001011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000000000000000100000000000000000000000000101100101000000000000000000010010111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000000000000001000000000000000000000000001011001010000000000000000000100101111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000000000000000010000000000000000000000000010110010100000000000000000001001011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000000000000000100000000000000000000000000101100101000000000000000000011010111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000000000000001000000000000000000000000001011001010000000000000000000110101111001001111000000001000010000100000000111111111010011000100000110110101111101001000000101110100100110011110100111010000000000000000010000000000000000000000000010110010100000000000000000001101011110010011110000000010000100001000000001111111110100110001000001101101011111010010000001011101001001000111101000110100000010000000000100000000000000000000000000101100101000000000000000000011010111100100111100000000100001000010000000011111111101001100010000011011010111110100100000010111010010010001111010001101000000100000000001000000000000000000000000001011001010000000000000000000101101111001001111000000001000010000100000000111111111010011000100000110110101111101001000000101110100100110011110100011010000001000000000010000000000000000000000000010110010100000000000000000001011011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101000110100000010000000000100000000000000000000000000101100101000000000000000000010110111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000100000000001000000000000000000000000001011001010000000000000000000101101111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100111010000001000000000010000000000000000000000000010110010100000000000000000001111011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001100111101001110100000010000000000100000000000000000000000000101100101000000000000000000011110111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010011101000000100000000001000000000000000000000000001011001010000000000000000000111101111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100100011110100011010000000000000000000000000000100000000000000010110010100000000000000000001111011110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101000110100000000000000000000000000001000000000000000101100101000000000000000000010001111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011001111010001101000000000000000000000000000010000000000000001011001010000000000000000000100011111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100011010000000000000000000000000000100000000000000010110010100000000000000000001000111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001000111101001110100000000000000000000000000001000000000000000101100101000000000000000000010001111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010001111010011101000000000000000000000000000010000000000000001011001010000000000000000000110011111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100110011110100111010000000000000000000000000000100000000000000010110010100000000000000000001100111110010011110000000010000100001010000001111111110100110001110110101101011111010010000001011101001001100111101001110100000000000000000000000000001000000000000000101100101000000000000000000011001111100100111100000000100001000010100000011111111101001100011101101011010111110100100000010111010010010001111010001101000000100000000000000000000010000000000000001011001010000000000000000000110011111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000001000000000000000000000100000000000000010110010100000000000000000001010111110010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000000000000001000000000000000101100101000000000000000000010101111100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000000000000000010000000000000001011001010000000000000000000101011111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000000000000000100000000000000010110010100000000000000000001010111110010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000000000000001000000000000000101100101000000000000000000011101111100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000100000000000000000000010000000000000001011001010000000000000000000111011111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000001000000000000000000000100000000000000010110010100000000000000000001110111110010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000000000000000100000000001000000000000000101100101000000000000000000011101111100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000000000000001000000000010000000000000001011001010000000000000000000100111111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000000000000000010000000000100000000000000010110010100000000000000000001001111110010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000000000000000100000000001000000000000000101100101000000000000000000010011111100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000000000000001000000000010000000000000001011001010000000000000000000100111111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000000000000000010000000000100000000000000010110010100000000000000000001101111110010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000000000000000100000000001000000000000000101100101000000000000000000011011111100100111100000000100001000010000000011111111101001100011101101010000110110100100000010111010010011001111010011101000000000000000001000000000010000000000000001011001010000000000000000000110111111001001111000000001000010000100000000111111111010011000111011010100001101101001000000101110100100100011110100011010000001000000000010000000000100000000000000010110010100000000000000000001101111110010011110000000010000100001000000001111111110100110001110110101000011011010010000001011101001001000111101000110100000010000000000100000000001000000000000000101100101000000000000000000010111111100100111100000000100001000010000000011111111101001100011101101010000110110100100000010111010010011001111010001101000000100000000001000000000010000000000000001011001010000000000000000000101111111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000010000000000100000000000000010110010100000000000000000001011111110010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000010000000000100000000001000000000000000101100101000000000000000000010111111100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000001000000000010000000000000001011001010000000000000000000111111111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000001000000000010000000000100000000000000010110010100000000000000000001111111110010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000010000000000100000000001000000000000000101100101000000000000000000011111111100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000000000000000000000000000000000000100001011001010000000000000000000111111111001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100011010000000000000000000000000000000000000001000010110010100000000000000000001000000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000000000000000000000000000000000000010000101100101000000000000000000010000000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010001101000000000000000000000000000000000000000100001011001010000000000000000000100000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000000000000000000000000000000000000001000010110010100000000000000000001000000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101001110100000000000000000000000000000000000000010000101100101000000000000000000011000000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011001111010011101000000000000000000000000000000000000000100001011001010000000000000000000110000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100111010000000000000000000000000000000000000001000010110010100000000000000000001100000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001000111101000110100000010000000000000000000000000000000010000101100101000000000000000000011000000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010001101000000100000000000000000000000000000000100001011001010000000000000000000101000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100110011110100011010000001000000000000000000000000000000001000010110010100000000000000000001010000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101000110100000010000000000000000000000000000000010000101100101000000000000000000010100000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010001111010011101000000100000000000000000000000000000000100001011001010000000000000000000101000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100100011110100111010000001000000000000000000000000000000001000010110010100000000000000000001110000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001100111101001110100000010000000000000000000000000000000010000101100101000000000000000000011100000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010011101000000100000000000000000000000000000000100001011001010000000000000000000111000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100100011110100011010000000000000000010000000000000000000001000010110010100000000000000000001110000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001000111101000110100000000000000000100000000000000000000010000101100101000000000000000000010010000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010001101000000000000000001000000000000000000000100001011001010000000000000000000100100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100110011110100011010000000000000000010000000000000000000001000010110010100000000000000000001001000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001000111101001110100000000000000000100000000000000000000010000101100101000000000000000000010010000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010001111010011101000000000000000001000000000000000000000100001011001010000000000000000000110100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100110011110100111010000000000000000010000000000000000000001000010110010100000000000000000001101000001010011110000000010000100001000000001111111110100110001110110101000011011010010000001101101001001100111101001110100000000000000000100000000000000000000010000101100101000000000000000000011010000010100111100000000100001000010000000011111111101001100011101101010000110110100100000011011010010010001111010001101000000100000000001000000000000000000000100001011001010000000000000000000110100000101001111000000001000010000100000000111111111010011000111011010100001101101001000000110110100100100011110100011010000001000000000010000000000000000000001000010110010100000000000000000001011000001010011110000000010000100001000000001111111110100110001110110101000011011010010000001101101001001100111101000110100000010000000000100000000000000000000010000101100101000000000000000000010110000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010001101000000100000000001000000000000000000000100001011001010000000000000000000101100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100100011110100111010000001000000000010000000000000000000001000010110010100000000000000000001011000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001000111101001110100000010000000000100000000000000000000010000101100101000000000000000000011110000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011001111010011101000000100000000001000000000000000000000100001011001010000000000000000000111100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100110011110100111010000001000000000010000000000000000000001000010110010100000000000000000001111000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001000111101000110100000000000000000000000000001000000000010000101100101000000000000000000011110000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010001111010001101000000000000000000000000000010000000000100001011001010000000000000000000100010000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100110011110100011010000000000000000000000000000100000000001000010110010100000000000000000001000100001010011110000000010000100001010000001111111110101110001110110101000011011010010000001101101001001100111101000110100000000000000000000000000001000000000010000101100101000000000000000000010001000010100111100000000100001000010100000011111111101011100011101101010000110110100100000011011010010010001111010011101000000000000000000000000000010000000000100001011001010000000000000000000100010000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000000000000000000000000000100000000001000010110010100000000000000000001100100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000000000000000000000000001000000000010000101100101000000000000000000011001000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000000000000000000000000010000000000100001011001010000000000000000000110010000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000001000000000000000000000100000000001000010110010100000000000000000001100100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000010000000000000000000001000000000010000101100101000000000000000000010101000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000100000000000000000000010000000000100001011001010000000000000000000101010000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000001000000000000000000000100000000001000010110010100000000000000000001010100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000010000000000000000000001000000000010000101100101000000000000000000010101000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000100000000000000000000010000000000100001011001010000000000000000000111010000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000001000000000000000000000100000000001000010110010100000000000000000001110100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000010000000000000000000001000000000010000101100101000000000000000000011101000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010001101000000000000000001000000000010000000000100001011001010000000000000000000111010000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000000000000000010000000000100000000001000010110010100000000000000000001001100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000000000000000100000000001000000000010000101100101000000000000000000010011000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000000000000001000000000010000000000100001011001010000000000000000000100110000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000000000000000010000000000100000000001000010110010100000000000000000001001100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000000000000000100000000001000000000010000101100101000000000000000000011011000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000000000000001000000000010000000000100001011001010000000000000000000110110000101001111000000001000010000100000000111111111010111000111011010110000000101001000000110110100100110011110100111010000000000000000010000000000100000000001000010110010100000000000000000001101100001010011110000000010000100001000000001111111110101110001110110101100000001010010000001101101001001000111101000110100000010000000000100000000001000000000010000101100101000000000000000000011011000010100111100000000100001000010000000011111111101011100011101101011000000010100100000011011010010010001111010001101000000100000000001000000000010000000000100001011001010000000000000000000101110000101001111000000001000010000100000000111111111010111000111011010110000000101001000000110110100100110011110100011010000001000000000010000000000100000000001000010110010100000000000000000001011100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101000110100000010000000000100000000001000000000010000101100101000000000000000000010111000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000100000000001000000000010000000000100001011001010000000000000000000101110000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100111010000001000000000010000000000100000000001000010110010100000000000000000001111100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001100111101001110100000010000000000100000000001000000000010000101100101000000000000000000011111000010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010011101000000100000000001000000000010000000000100001011001010000000000000000000111110000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100100011110100011010000000000000000000000000000000000000000000001110010100000000000000000001111100001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101000110100000000000000000000000000000000000000000000011100101000000000000000000010000100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011001111010001101000000000000000000000000000000000000000000000111001010000000000000000000100001000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100011010000000000000000000000000000000000000000000001110010100000000000000000001000010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001000111101001110100000000000000000000000000000000000000000000011100101000000000000000000010000100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010001111010011101000000000000000000000000000000000000000000000111001010000000000000000000110001000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100110011110100111010000000000000000000000000000000000000000000001110010100000000000000000001100010001010011110000000010000100001010000001111111110101110001001110101100000001010010000001101101001001100111101001110100000000000000000000000000000000000000000000011100101000000000000000000011000100010100111100000000100001000010100000011111111101011100010011101011000000010100100000011011010010010001111010001101000000100000000000000000000000000000000000000111001010000000000000000000110001000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000001000000000000000000000000000000000000001110010100000000000000000001010010001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000000000000000000000000000000011100101000000000000000000010100100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000000000000000000000000000000000111001010000000000000000000101001000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000000000000000000000000000000001110010100000000000000000001010010001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000000000000000000000000000000011100101000000000000000000011100100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000100000000000000000000000000000000000000111001010000000000000000000111001000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000001000000000000000000000000000000000000001110010100000000000000000001110010001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000000000000000100000000000000000000000000011100101000000000000000000011100100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000000000000001000000000000000000000000000111001010000000000000000000100101000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000000000000000010000000000000000000000000001110010100000000000000000001001010001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000000000000000100000000000000000000000000011100101000000000000000000010010100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000000000000001000000000000000000000000000111001010000000000000000000100101000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000000000000000010000000000000000000000000001110010100000000000000000001101010001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000000000000000100000000000000000000000000011100101000000000000000000011010100010100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010011001111010011101000000000000000001000000000000000000000000000111001010000000000000000000110101000101001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100100011110100011010000001000000000010000000000000000000000000001110010100000000000000000001101010001010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001000111101000110100000010000000000100000000000000000000000000011100101000000000000000000010110100010100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010011001111010001101000000100000000001000000000000000000000000000111001010000000000000000000101101000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000010000000000000000000000000001110010100000000000000000001011010001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000010000000000100000000000000000000000000011100101000000000000000000010110100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000001000000000000000000000000000111001010000000000000000000111101000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000001000000000010000000000000000000000000001110010100000000000000000001111010001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000010000000000100000000000000000000000000011100101000000000000000000011110100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000000000000000000000000010000000000000000111001010000000000000000000111101000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100011010000000000000000000000000000100000000000000001110010100000000000000000001000110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000000000000000000000000001000000000000000011100101000000000000000000010001100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010001101000000000000000000000000000010000000000000000111001010000000000000000000100011000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000000000000000000000000000100000000000000001110010100000000000000000001000110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101001110100000000000000000000000000001000000000000000011100101000000000000000000011001100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011001111010011101000000000000000000000000000010000000000000000111001010000000000000000000110011000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100111010000000000000000000000000000100000000000000001110010100000000000000000001100110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001000111101000110100000010000000000000000000001000000000000000011100101000000000000000000011001100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010001101000000100000000000000000000010000000000000000111001010000000000000000000101011000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100110011110100011010000001000000000000000000000100000000000000001110010100000000000000000001010110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101000110100000010000000000000000000001000000000000000011100101000000000000000000010101100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010001111010011101000000100000000000000000000010000000000000000111001010000000000000000000101011000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100100011110100111010000001000000000000000000000100000000000000001110010100000000000000000001110110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001100111101001110100000010000000000000000000001000000000000000011100101000000000000000000011101100010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010011101000000100000000000000000000010000000000000000111001010000000000000000000111011000101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100011010000000000000000010000000000100000000000000001110010100000000000000000001110110001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101000110100000000000000000100000000001000000000000000011100101000000000000000000010011100010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010001101000000000000000001000000000010000000000000000111001010000000000000000000100111000101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100011010000000000000000010000000000100000000000000001110010100000000000000000001001110001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101001110100000000000000000100000000001000000000000000011100101000000000000000000010011100010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010011101000000000000000001000000000010000000000000000111001010000000000000000000110111000101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100111010000000000000000010000000000100000000000000001110010100000000000000000001101110001010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001100111101001110100000000000000000100000000001000000000000000011100101000000000000000000011011100010100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010010001111010001101000000100000000001000000000010000000000000000111001010000000000000000000110111000101001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100100011110100011010000001000000000010000000000100000000000000001110010100000000000000000001011110001010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001100111101000110100000010000000000100000000001000000000000000011100101000000000000000000010111100010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010001101000000100000000001000000000010000000000000000111001010000000000000000000101111000101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100100011110100111010000001000000000010000000000100000000000000001110010100000000000000000001011110001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101001110100000010000000000100000000001000000000000000011100101000000000000000000011111100010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011001111010011101000000100000000001000000000010000000000000000111001010000000000000000000111111000101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100111010000001000000000010000000000100000000000000001110010100000000000000000001111110001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001000111101000110100000000000000000000000000000000000000010000011100101000000000000000000011111100010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010001111010001101000000000000000000000000000000000000000100000111001010000000000000000000100000100101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100110011110100011010000000000000000000000000000000000000001000001110010100000000000000000001000001001010011110000000010000100001010000001111111110100110001101110101100011011010010010001101101001001100111101000110100000000000000000000000000000000000000010000011100101000000000000000000010000010010100111100000000100001000010100000011111111101001100011011101011000110110100100100011011010010010001111010011101000000000000000000000000000000000000000100000111001010000000000000000000100000100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000000000000000000000000000000000000001000001110010100000000000000000001100001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000000000000000000000000000000000000010000011100101000000000000000000011000010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000000000000000000000000000000000000100000111001010000000000000000000110000100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000001000000000000000000000000000000001000001110010100000000000000000001100001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000010000000000000000000000000000000010000011100101000000000000000000010100010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000100000000000000000000000000000000100000111001010000000000000000000101000100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100011010000001000000000000000000000000000000001000001110010100000000000000000001010001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000010000000000000000000000000000000010000011100101000000000000000000010100010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000100000000000000000000000000000000100000111001010000000000000000000111000100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100110011110100111010000001000000000000000000000000000000001000001110010100000000000000000001110001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000010000000000000000000000000000000010000011100101000000000000000000011100010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010001101000000000000000001000000000000000000000100000111001010000000000000000000111000100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000000000000000010000000000000000000001000001110010100000000000000000001001001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000000000000000100000000000000000000010000011100101000000000000000000010010010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000000000000001000000000000000000000100000111001010000000000000000000100100100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000000000000000010000000000000000000001000001110010100000000000000000001001001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101001110100000000000000000100000000000000000000010000011100101000000000000000000011010010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000000000000001000000000000000000000100000111001010000000000000000000110100100101001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100110011110100111010000000000000000010000000000000000000001000001110010100000000000000000001101001001010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001000111101000110100000010000000000100000000000000000000010000011100101000000000000000000011010010010100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010010001111010001101000000100000000001000000000000000000000100000111001010000000000000000000101100100101001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100110011110100011010000001000000000010000000000000000000001000001110010100000000000000000001011001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101000110100000010000000000100000000000000000000010000011100101000000000000000000010110010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010001111010011101000000100000000001000000000000000000000100000111001010000000000000000000101100100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100111010000001000000000010000000000000000000001000001110010100000000000000000001111001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001100111101001110100000010000000000100000000000000000000010000011100101000000000000000000011110010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010011101000000100000000001000000000000000000000100000111001010000000000000000000111100100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100100011110100011010000000000000000000000000000100000000001000001110010100000000000000000001111001001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001000111101000110100000000000000000000000000001000000000010000011100101000000000000000000010001010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011001111010001101000000000000000000000000000010000000000100000111001010000000000000000000100010100101001111000000001000010000101000000111111111010000000000000000000000000101001001000110110100100110011110100011010000000000000000000000000000100000000001000001110010100000000000000000001000101001010011110000000010000100001010000001111111110100000000000000000000000001010010010001101101001001000111101001110100000000000000000000000000001000000000010000011100101000000000000000000010001010010100111100000000100001000010100000011111111101000000000000000000001111010100100100011011010010010001111010011101000000000000000000000000000010000000000100000111001010000000000000000000110010100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000000000000000000000000000100000000001000001110010100000000000000000001100101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000000000000000000000000001000000000010000011100101000000000000000000011001010010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000100000000000000000000010000000000100000111001010000000000000000000110010100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000001000000000000000000000100000000001000001110010100000000000000000001010101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000010000000000000000000001000000000010000011100101000000000000000000010101010010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010001101000000100000000000000000000010000000000100000111001010000000000000000000101010100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000001000000000000000000000100000000001000001110010100000000000000000001010101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000010000000000000000000001000000000010000011100101000000000000000000011101010010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011001111010011101000000100000000000000000000010000000000100000111001010000000000000000000111010100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000001000000000000000000000100000000001000001110010100000000000000000001110101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101000110100000000000000000100000000001000000000010000011100101000000000000000000011101010010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000000000000001000000000010000000000100000111001010000000000000000000100110100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000000000000000010000000000100000000001000001110010100000000000000000001001101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000000000000000100000000001000000000010000011100101000000000000000000010011010010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000000000000001000000000010000000000100000111001010000000000000000000100110100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100111010000000000000000010000000000100000000001000001110010100000000000000000001101101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000000000000000100000000001000000000010000011100101000000000000000000011011010010100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010011001111010011101000000000000000001000000000010000000000100000111001010000000000000000000110110100101001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100100011110100011010000001000000000010000000000100000000001000001110010100000000000000000001101101001010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001000111101000110100000010000000000100000000001000000000010000011100101000000000000000000010111010010100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010011001111010001101000000100000000001000000000010000000000100000111001010000000000000000000101110100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100011010000001000000000010000000000100000000001000001110010100000000000000000001011101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001000111101001110100000010000000000100000000001000000000010000011100101000000000000000000010111010010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010011101000000100000000001000000000010000000000100000111001010000000000000000000111110100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100110011110100111010000001000000000010000000000100000000001000001110010100000000000000000001111101001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101001110100000010000000000100000000001000000000010000011100101000000000000000000011111010010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010001111010001101000000000000000000000000000000000000000000001111001010000000000000000000111110100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100100011110100011010000000000000000000000000000000000000000000011110010100000000000000000001000011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001100111101000110100000000000000000000000000000000000000000000111100101000000000000000000010000110010100111100000000100001000010100000011111111101010000000000000000010101010100100100011011010010011001111010001101000000000000000000000000000000000000000000001111001010000000000000000000100001100101001111000000001000010000101000000111111111010100000000000000000101010101001001000110110100100100011110100111010000000000000000000000000000000000000000000011110010100000000000000000001000011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000000000000000000000000000000000000000000111100101000000000000000000011000110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000000000000000000000000000000000000000001111001010000000000000000000110001100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000000000000000000000000000000000000000000011110010100000000000000000001100011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000010000000000000000000000000000000000000111100101000000000000000000011000110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000100000000000000000000000000000000000001111001010000000000000000000101001100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000001000000000000000000000000000000000000011110010100000000000000000001010011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101000110100000010000000000000000000000000000000000000111100101000000000000000000010100110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000100000000000000000000000000000000000001111001010000000000000000000101001100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000001000000000000000000000000000000000000011110010100000000000000000001110011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001100111101001110100000010000000000000000000000000000000000000111100101000000000000000000011100110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000100000000000000000000000000000000000001111001010000000000000000000111001100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100011010000000000000000010000000000000000000000000011110010100000000000000000001110011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000000000000000100000000000000000000000000111100101000000000000000000010010110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000000000000001000000000000000000000000001111001010000000000000000000100101100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000000000000000010000000000000000000000000011110010100000000000000000001001011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000000000000000100000000000000000000000000111100101000000000000000000010010110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010011101000000000000000001000000000000000000000000001111001010000000000000000000110101100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000000000000000010000000000000000000000000011110010100000000000000000001101011001010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001100111101001110100000000000000000100000000000000000000000000111100101000000000000000000011010110010100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010010001111010001101000000100000000001000000000000000000000000001111001010000000000000000000110101100101001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100100011110100011010000001000000000010000000000000000000000000011110010100000000000000000001011011001010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001100111101000110100000010000000000100000000000000000000000000111100101000000000000000000010110110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010001101000000100000000001000000000000000000000000001111001010000000000000000000101101100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100100011110100111010000001000000000010000000000000000000000000011110010100000000000000000001011011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101001110100000010000000000100000000000000000000000000111100101000000000000000000011110110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011001111010011101000000100000000001000000000000000000000000001111001010000000000000000000111101100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100111010000001000000000010000000000000000000000000011110010100000000000000000001111011001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001000111101000110100000000000000000000000000001000000000000000111100101000000000000000000011110110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010001111010001101000000000000000000000000000010000000000000001111001010000000000000000000100011100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100110011110100011010000000000000000000000000000100000000000000011110010100000000000000000001000111001010011110000000010000100001010000001111111110101000000110101011110000001010010010001101101001001100111101000110100000000000000000000000000001000000000000000111100101000000000000000000010001110010100111100000000100001000010100000011111111101010000001101010111100000010100100100011011010010010001111010011101000000000000000000000000000010000000000000001111001010000000000000000000100011100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100100011110100111010000000000000000000000000000100000000000000011110010100000000000000000001100111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001100111101001110100000000000000000000000000001000000000000000111100101000000000000000000011001110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011001111010011101000000000000000000000000000010000000000000001111001010000000000000000000110011100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100100011110100011010000001000000000000000000000100000000000000011110010100000000000000000001100111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001000111101000110100000010000000000000000000001000000000000000111100101000000000000000000010101110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011001111010001101000000100000000000000000000010000000000000001111001010000000000000000000101011100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100110011110100011010000001000000000000000000000100000000000000011110010100000000000000000001010111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001000111101001110100000010000000000000000000001000000000000000111100101000000000000000000010101110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010001111010011101000000100000000000000000000010000000000000001111001010000000000000000000111011100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100110011110100111010000001000000000000000000000100000000000000011110010100000000000000000001110111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001100111101001110100000010000000000000000000001000000000000000111100101000000000000000000011101110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010001101000000000000000001000000000010000000000000001111001010000000000000000000111011100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100011010000000000000000010000000000100000000000000011110010100000000000000000001001111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011100111101000110100000000000000000100000000001000000000000000111100101000000000000000000010011110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111001111010001101000000000000000001000000000010000000000000001111001010000000000000000000100111100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100111010000000000000000010000000000100000000000000011110010100000000000000000001001111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101001110100000000000000000100000000001000000000000000111100101000000000000000000011011110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111001111010011101000000000000000001000000000010000000000000001111001010000000000000000000110111100101001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101110011110100111010000000000000000010000000000100000000000000011110010100000000000000000001101111001010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011000111101000110100000010000000000100000000001000000000000000111100101000000000000000000011011110010100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010110001111010001101000000100000000001000000000010000000000000001111001010000000000000000000101111100101001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101110011110100011010000001000000000010000000000100000000000000011110010100000000000000000001011111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011100111101000110100000010000000000100000000001000000000000000111100101000000000000000000010111110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110001111010011101000000100000000001000000000010000000000000001111001010000000000000000000101111100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100111010000001000000000010000000000100000000000000011110010100000000000000000001111111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011100111101001110100000010000000000100000000001000000000000000111100101000000000000000000011111110010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111001111010011101000000100000000001000000000010000000000000001111001010000000000000000000111111100101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101100011110100011010000000000000000000000000000000000000001000011110010100000000000000000001111111001010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011000111101000110100000000000000000000000000000000000000010000111100101000000000000000000010000001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111001111010001101000000000000000000000000000000000000000100001111001010000000000000000000100000010101001111000000001000010000101000000111111111010111000110111010111111111101001011000110110100101110011110100011010000000000000000000000000000000000000001000011110010100000000000000000001000000101010011110000000010000100001010000001111111110101110001101110101111111111010010110001101101001011000111101001110100000000000000000000000000000000000000010000111100101000000000000000000010000001010100111100000000100001000010100000011111111101011100011011101010000000010100101100011011010010110001111010011101000000000000000000000000000000000000000100001111001010000000000000000000110000010101001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101110011110100111010000000000000000000000000000000000000001000011110010100000000000000000001100000101010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011100111101001110100000000000000000000000000000000000000010000111100101000000000000000000011000001010100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110001111010001101000000100000000000000000000000000000000100001111001010000000000000000000110000010101001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101100011110100011010000001000000000000000000000000000000001000011110010100000000000000000001010000101010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011100111101000110100000010000000000000000000000000000000010000111100101000000000000000000010100001010100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111001111010001101000000100000000000000000000000000000000100001111001010000000000000000000101000010101001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101100011110100111010000001000000000000000000000000000000001000011110010100000000000000000001010000101010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011000111101001110100000010000000000000000000000000000000010000111100101000000000000000000011100001010100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111001111010011101000000100000000000000000000000000000000100001111001010000000000000000000111000010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100111010000001000000000000000000000000000000001000011110010100000000000000000001110000101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101000110100000000000000000100000000000000000000010000111100101000000000000000000011100001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010001101000000000000000001000000000000000000000100001111001010000000000000000000100100010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100011010000000000000000010000000000000000000001000011110010100000000000000000001001000101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101000110100000000000000000100000000000000000000010000111100101000000000000000000010010001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010011101000000000000000001000000000000000000000100001111001010000000000000000000100100010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100111010000000000000000010000000000000000000001000011110010100000000000000000001101000101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101001110100000000000000000100000000000000000000010000111100101000000000000000000011010001010100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010111001111010011101000000000000000001000000000000000000000100001111001010000000000000000000110100010101001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101100011110100011010000001000000000010000000000000000000001000011110010100000000000000000001101000101010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011000111101000110100000010000000000100000000000000000000010000111100101000000000000000000010110001010100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010111001111010001101000000100000000001000000000000000000000100001111001010000000000000000000101100010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100011010000001000000000010000000000000000000001000011110010100000000000000000001011000101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011000111101001110100000010000000000100000000000000000000010000111100101000000000000000000010110001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010011101000000100000000001000000000000000000000100001111001010000000000000000000111100010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101110011110100111010000001000000000010000000000000000000001000011110010100000000000000000001111000101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101001110100000010000000000100000000000000000000010000111100101000000000000000000011110001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110001111010001101000000000000000000000000000010000000000100001111001010000000000000000000111100010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101100011110100011010000000000000000000000000000100000000001000011110010100000000000000000001000100101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011100111101000110100000000000000000000000000001000000000010000111100101000000000000000000010001001010100111100000000100001000010100000011111111101001100010111101011011000110100101101100011010010111001111010001101000000000000000000000000000010000000000100001111001010000000000000000000100010010101001111000000001000010000101000000111111111010011000101111010110110001101001011011000110100101100011110100111010000000000000000000000000000100000000001000011110010100000000000000000001000100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000000000000000000000000001000000000010000111100101000000000000000000011001001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000000000000000000000000010000000000100001111001010000000000000000000110010010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000000000000000000000000000100000000001000011110010100000000000000000001100100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000010000000000000000000001000000000010000111100101000000000000000000011001001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010001101000000100000000000000000000010000000000100001111001010000000000000000000101010010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000001000000000000000000000100000000001000011110010100000000000000000001010100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101000110100000010000000000000000000001000000000010000111100101000000000000000000000101001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000100000000000000000000010000000000100001111001010000000000000000000001010010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000001000000000000000000000100000000001000011110010100000000000000000000110100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011100111101001110100000010000000000000000000001000000000010000111100101000000000000000000001101001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000100000000000000000000010000000000100001111001010000000000000000000011010010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100011010000000000000000010000000000100000000001000011110010100000000000000000000110100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101000110100000000000000000100000000001000000000010000111100101000000000000000000000011001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000000000000001000000000010000000000100001111001010000000000000000000000110010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100011010000000000000000010000000000100000000001000011110010100000000000000000000001100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000000000000000100000000001000000000010000111100101000000000000000000000011001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110001111010011101000000000000000001000000000010000000000100001111001010000000000000000000010110010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000000000000000010000000000100000000001000011110010100000000000000000000101100101010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011100111101001110100000000000000000100000000001000000000010000111100101000000000000000000001011001010100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010110001111010001101000000100000000001000000000010000000000100001111001010000000000000000000010110010101001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101100011110100011010000001000000000010000000000100000000001000011110010100000000000000000000011100101010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011100111101000110100000010000000000100000000001000000000010000111100101000000000000000000000111001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010001101000000100000000001000000000010000000000100001111001010000000000000000000001110010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101100011110100111010000001000000000010000000000100000000001000011110010100000000000000000000011100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011000111101001110100000010000000000100000000001000000000010000111100101000000000000000000001111001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111001111010011101000000100000000001000000000010000000000100001111001010000000000000000000011110010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101110011110100111010000001000000000010000000000100000000001000011110010100000000000000000000111100101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101000110100000000000000000000000000000000000000000000000000101000000000000000000001111001010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010001101000000000000000000000000000000000000000000000000001010000000000000000000000001010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100011010000000000000000000000000000000000000000000000000010100000000000000000000000010101010011110000000010000100001010000001111111110101110001011110101111000001010010110110001101001011110111101000110100000000000000000000000000000000000000000000000000101000000000000000000000000101010100111100000000100001000010100000011111111101011100010111101011110000010100101101100011010010110101111010011101000000000000000000000000000000000000000000000000001010000000000000000000000001010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000000000000000000000000000000000000000000000000010100000000000000000000100010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000000000000000000000000000000000000000000000000101000000000000000000001000101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000000000000000000000000000000000000000000000001010000000000000000000010001010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000001000000000000000000000000000000000000000000010100000000000000000000100010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000010000000000000000000000000000000000000000000101000000000000000000000100101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000100000000000000000000000000000000000000000001010000000000000000000001001010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100011010000001000000000000000000000000000000000000000000010100000000000000000000010010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000010000000000000000000000000000000000000000000101000000000000000000000100101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000100000000000000000000000000000000000000000001010000000000000000000011001010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000001000000000000000000000000000000000000000000010100000000000000000000110010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000010000000000000000000000000000000000000000000101000000000000000000001100101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010001101000000000000000001000000000000000000000000000000001010000000000000000000011001010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000000000000000010000000000000000000000000000000010100000000000000000000001010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000000000000000100000000000000000000000000000000101000000000000000000000010101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000000000000001000000000000000000000000000000001010000000000000000000000101010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000000000000000010000000000000000000000000000000010100000000000000000000001010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000000000000000100000000000000000000000000000000101000000000000000000001010101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000000000000001000000000000000000000000000000001010000000000000000000010101010101001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101111011110100111010000000000000000010000000000000000000000000000000010100000000000000000000101010101010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011010111101000110100000010000000000100000000000000000000000000000000101000000000000000000001010101010100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010110101111010001101000000100000000001000000000000000000000000000000001010000000000000000000001101010101001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101111011110100011010000001000000000010000000000000000000000000000000010100000000000000000000011010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000010000000000100000000000000000000000000000000101000000000000000000000110101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000100000000001000000000000000000000000000000001010000000000000000000001101010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000001000000000010000000000000000000000000000000010100000000000000000000111010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000010000000000100000000000000000000000000000000101000000000000000000001110101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000100000000001000000000000000000000000000000001010000000000000000000011101010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000000000000000000000000000100000000000000000000010100000000000000000000111010101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000000000000000000000000001000000000000000000000101000000000000000000000001101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000000000000000000000000010000000000000000000001010000000000000000000000011010101001111000000001000010000101000000111111111010100010011000000000000100111111111011000110100101111011110100011010000000000000000000000000000100000000000000000000010100000000000000000000000110101010011110000000010000100001010000001111111111101000100110000000000001001111111110110001101001011010111101001110100000000000000000000000000001000000000000000000000101000000000000000000000001101010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010011101000000000000000000000000000010000000000000000000001010000000000000000000010011010101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000000000000000000000000000100000000000000000000010100000000000000000000100110101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000000000000000000000000001000000000000000000000101000000000000000000001001101010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000100000000000000000000010000000000000000000001010000000000000000000010011010101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000001000000000000000000000100000000000000000000010100000000000000000000010110101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101000110100000010000000000000000000001000000000000000000000101000000000000000000000101101010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010001101000000100000000000000000000010000000000000000000001010000000000000000000001011010101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100111010000001000000000000000000000100000000000000000000010100000000000000000000010110101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101001110100000010000000000000000000001000000000000000000000101000000000000000000001101101010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000100000000000000000000010000000000000000000001010000000000000000000011011010101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000001000000000000000000000100000000000000000000010100000000000000000000110110101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101000110100000000000000000100000000001000000000000000000000101000000000000000000001101101010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000000000000001000000000010000000000000000000001010000000000000000000000111010101001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101111011110100011010000000000000000010000000000100000000000000000000010100000000000000000000001110101010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011110111101000110100000000000000000100000000001000000000000000000000101000000000000000000000011101010100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010110101111010011101000000000000000001000000000010000000000000000000001010000000000000000000000111010101001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101101011110100111010000000000000000010000000000100000000000000000000010100000000000000000000101110101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000000000000000100000000001000000000000000000000101000000000000000000001011101010100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010111101111010011101000000000000000001000000000010000000000000000000001010000000000000000000010111010101001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101101011110100011010000001000000000010000000000100000000000000000000010100000000000000000000101110101010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011010111101000110100000010000000000100000000001000000000000000000000101000000000000000000000111101010100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010111101111010001101000000100000000001000000000010000000000000000000001010000000000000000000001111010101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100011010000001000000000010000000000100000000000000000000010100000000000000000000011110101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101001110100000010000000000100000000001000000000000000000000101000000000000000000000111101010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010011101000000100000000001000000000010000000000000000000001010000000000000000000011111010101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000001000000000010000000000100000000000000000000010100000000000000000000111110101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000010000000000100000000001000000000000000000000101000000000000000000001111101010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000000000000000000000000000000000000100000000001010000000000000000000011111010101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000000000000000000000000000000000000001000000000010100000000000000000000000001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101000110100000000000000000000000000000000000000010000000000101000000000000000000000000011010100111100000000100001000010100000011111111111001100011111101011111111110100101101100011010010111101111010001101000000000000000000000000000000000000000100000000001010000000000000000000000000110101001111000000001000010000101000000111111111010011000111111010111111111101001011011000110100101101011110100111010000000000000000000000000000000000000001000000000010100000000000000000000000001101010011110000000010000100001010000001111111110100110001111110101111111111010010110110001101001011010111101001110100000000000000000000000000000000000000010000000000101000000000000000000001000011010100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111101111010011101000000000000000000000000000000000000000100000000001010000000000000000000010000110101001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101111011110100111010000000000000000000000000000000000000001000000000010100000000000000000000100001101010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011010111101000110100000010000000000000000000000000000000010000000000101000000000000000000001000011010100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110101111010001101000000100000000000000000000000000000000100000000001010000000000000000000001000110101001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101111011110100011010000001000000000000000000000000000000001000000000010100000000000000000000010001101010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011110111101000110100000010000000000000000000000000000000010000000000101000000000000000000000100011010100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110101111010011101000000100000000000000000000000000000000100000000001010000000000000000000001000110101001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101101011110100111010000001000000000000000000000000000000001000000000010100000000000000000000110001101010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011110111101001110100000010000000000000000000000000000000010000000000101000000000000000000001100011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000100000000000000000000000000000000100000000001010000000000000000000011000110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000000000000000010000000000000000000001000000000010100000000000000000000110001101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000000000000000100000000000000000000010000000000101000000000000000000000010011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000000000000001000000000000000000000100000000001010000000000000000000000100110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000000000000000010000000000000000000001000000000010100000000000000000000001001101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000000000000000100000000000000000000010000000000101000000000000000000000010011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000000000000001000000000000000000000100000000001010000000000000000000010100110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000000000000000010000000000000000000001000000000010100000000000000000000101001101010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011110111101001110100000000000000000100000000000000000000010000000000101000000000000000000001010011010100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010110101111010001101000000100000000001000000000000000000000100000000001010000000000000000000010100110101001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101101011110100011010000001000000000010000000000000000000001000000000010100000000000000000000011001101010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011110111101000110100000010000000000100000000000000000000010000000000101000000000000000000000110011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000100000000001000000000000000000000100000000001010000000000000000000001100110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000001000000000010000000000000000000001000000000010100000000000000000000011001101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000010000000000100000000000000000000010000000000101000000000000000000001110011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000100000000001000000000000000000000100000000001010000000000000000000011100110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000001000000000010000000000000000000001000000000010100000000000000000000111001101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000000000000000000000000001000000000010000000000101000000000000000000001110011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010001101000000000000000000000000000010000000000100000000001010000000000000000000000010110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000000000000000000000000000100000000001000000000010100000000000000000000000101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000000000000000000000000001000000000010000000000101000000000000000000000001011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000000000000000000000000010000000000100000000001010000000000000000000000010110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000000000000000000000000000100000000001000000000010100000000000000000000100101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000000000000000000000000001000000000010000000000101000000000000000000001001011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000000000000000000000000010000000000100000000001010000000000000000000010010110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000001000000000000000000000100000000001000000000010100000000000000000000100101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000010000000000000000000001000000000010000000000101000000000000000000000101011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000100000000000000000000010000000000100000000001010000000000000000000001010110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000001000000000000000000000100000000001000000000010100000000000000000000010101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000010000000000000000000001000000000010000000000101000000000000000000000101011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000100000000000000000000010000000000100000000001010000000000000000000011010110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000001000000000000000000000100000000001000000000010100000000000000000000110101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000010000000000000000000001000000000010000000000101000000000000000000001101011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010001101000000000000000001000000000010000000000100000000001010000000000000000000011010110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100011010000000000000000010000000000100000000001000000000010100000000000000000000001101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001110111101000110100000000000000000100000000001000000000010000000000101000000000000000000000011011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011101111010001101000000000000000001000000000010000000000100000000001010000000000000000000000110110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100111010000000000000000010000000000100000000001000000000010100000000000000000000001101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101001110100000000000000000100000000001000000000010000000000101000000000000000000001011011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011101111010011101000000000000000001000000000010000000000100000000001010000000000000000000010110110101001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100100111011110100111010000000000000000010000000000100000000001000000000010100000000000000000000101101101010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001001010111101000110100000010000000000100000000001000000000010000000000101000000000000000000001011011010100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010010101111010001101000000100000000001000000000010000000000100000000001010000000000000000000001110110101001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100100111011110100011010000001000000000010000000000100000000001000000000010100000000000000000000011101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001110111101000110100000010000000000100000000001000000000010000000000101000000000000000000000111011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010011101000000100000000001000000000010000000000100000000001010000000000000000000001110110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100111010000001000000000010000000000100000000001000000000010100000000000000000000111101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001110111101001110100000010000000000100000000001000000000010000000000101000000000000000000001111011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011101111010011101000000100000000001000000000010000000000100000000001010000000000000000000011110110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100011010000000000000000000000000000000000000000000010000010100000000000000000000111101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101000110100000000000000000000000000000000000000000000100000101000000000000000000000000111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011101111010001101000000000000000000000000000000000000000000001000001010000000000000000000000001110101001111000000001000010000101000000111111111010111000111111010101010011101001000101001110100100111011110100011010000000000000000000000000000000000000000000010000010100000000000000000000000011101010011110000000010000100001010000001111111110101110001111110101010100111010010001010011101001001010111101001110100000000000000000000000000000000000000000000100000101000000000000000000000000111010100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010101111010011101000000000000000000000000000000000000000000001000001010000000000000000000010001110101001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100111011110100111010000000000000000000000000000000000000000000010000010100000000000000000000100011101010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001110111101001110100000000000000000000000000000000000000000000100000101000000000000000000001000111010100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010101111010001101000000100000000000000000000000000000000000001000001010000000000000000000010001110101001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100101011110100011010000001000000000000000000000000000000000000010000010100000000000000000000010011101010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001110111101000110100000010000000000000000000000000000000000000100000101000000000000000000000100111010100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011101111010001101000000100000000000000000000000000000000000001000001010000000000000000000001001110101001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100101011110100111010000001000000000000000000000000000000000000010000010100000000000000000000010011101010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001010111101001110100000010000000000000000000000000000000000000100000101000000000000000000001100111010100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011101111010011101000000100000000000000000000000000000000000001000001010000000000000000000011001110101001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100111010000001000000000000000000000000000000000000010000010100000000000000000000110011101010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101000110100000000000000000100000000000000000000000000100000101000000000000000000001100111010100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010001101000000000000000001000000000000000000000000001000001010000000000000000000000101110101001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100011010000000000000000010000000000000000000000000010000010100000000000000000000001011101010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101000110100000000000000000100000000000000000000000000100000101000000000000000000000010111010100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010011101000000000000000001000000000000000000000000001000001010000000000000000000000101110101001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100111010000000000000000010000000000000000000000000010000010100000000000000000000101011101010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101001110100000000000000000100000000000000000000000000100000101000000000000000000001010111010100111100000000100001000010000000011111111101011100011111101010000101110100100000010111010010011101111010011101000000000000000001000000000000000000000000001000001010000000000000000000010101110101001111000000001000010000100000000111111111010111000111111010100001011101001000000101110100100101011110100011010000001000000000010000000000000000000000000010000010100000000000000000000101011101010011110000000010000100001000000001111111110101110001111110101000010111010010000001011101001001010111101000110100000010000000000100000000000000000000000000100000101000000000000000000000110111010100111100000000100001000010000000011111111101011100011111101010000101110100100000010111010010011101111010001101000000100000000001000000000000000000000000001000001010000000000000000000001101110101001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100011010000001000000000010000000000000000000000000010000010100000000000000000000011011101010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101001110100000010000000000100000000000000000000000000100000101000000000000000000000110111010100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010011101000000100000000001000000000000000000000000001000001010000000000000000000011101110101001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100111010000001000000000010000000000000000000000000010000010100000000000000000000111011101010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101001110100000010000000000100000000000000000000000000100000101000000000000000000001110111010100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010001101000000000000000000000000000010000000000000001000001010000000000000000000011101110101001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100011010000000000000000000000000000100000000000000010000010100000000000000000000000111101010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101000110100000000000000000000000000001000000000000000100000101000000000000000000000001111010100111100000000100001000010100000011111111101001100010000011010000101110100100000010111010010011101111010001101000000000000000000000000000010000000000000001000001010000000000000000000000011110101001111000000001000010000101000000111111111010011000100000110100001011101001000000101110100100101011110100111010000000000000000000000000000100000000000000010000010100000000000000000000000111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000000000000000000000000001000000000000000100000101000000000000000000001001111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000000000000000000000000010000000000000001000001010000000000000000000010011110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000000000000000000000000000100000000000000010000010100000000000000000000100111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101000110100000010000000000000000000001000000000000000100000101000000000000000000001001111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010001101000000100000000000000000000010000000000000001000001010000000000000000000001011110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100011010000001000000000000000000000100000000000000010000010100000000000000000000010111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101000110100000010000000000000000000001000000000000000100000101000000000000000000010101111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000100000000000000000000010000000000000001000001010000000000000000000101011110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000001000000000000000000000100000000000000010000010100000000000000000001110111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000010000000000000000000001000000000000000100000101000000000000000000011101111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000100000000000000000000010000000000000001000001010000000000000000000111011110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100011010000000000000000010000000000100000000000000010000010100000000000000000001110111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101000110100000000000000000100000000001000000000000000100000101000000000000000000010011111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010001101000000000000000001000000000010000000000000001000001010000000000000000000100111110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100011010000000000000000010000000000100000000000000010000010100000000000000000001001111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000000000000000100000000001000000000000000100000101000000000000000000010011111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000000000000001000000000010000000000000001000001010000000000000000000110111110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000000000000000010000000000100000000000000010000010100000000000000000001101111101010011110000000010000100001000000001111111110100110001000001101101011111010010000001011101001001110111101001110100000000000000000100000000001000000000000000100000101000000000000000000011011111010100111100000000100001000010000000011111111101001100010000011011010111110100100000010111010010010101111010001101000000100000000001000000000010000000000000001000001010000000000000000000110111110101001111000000001000010000100000000111111111010011000100000110110101111101001000000101110100100101011110100011010000001000000000010000000000100000000000000010000010100000000000000000001011111101010011110000000010000100001000000001111111110100110001000001101101011111010010000001011101001001110111101000110100000010000000000100000000001000000000000000100000101000000000000000000010111111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010001101000000100000000001000000000010000000000000001000001010000000000000000000101111110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000001000000000010000000000100000000000000010000010100000000000000000001011111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000010000000000100000000001000000000000000100000101000000000000000000011111111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000100000000001000000000010000000000000001000001010000000000000000000111111110101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000001000000000010000000000100000000000000010000010100000000000000000001111111101010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101000110100000000000000000000000000000000000000010000100000101000000000000000000011111111010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010001101000000000000000000000000000000000000000100001000001010000000000000000000100000001101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100011010000000000000000000000000000000000000001000010000010100000000000000000001000000011010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101000110100000000000000000000000000000000000000010000100000101000000000000000000010000000110100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000000000000000000000000000000000000100001000001010000000000000000000100000001101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000000000000000000000000000000000000001000010000010100000000000000000001100000011010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000000000000000000000000000000000000010000100000101000000000000000000011000000110100111100000000100001000010100000011111111101001100011101101011010111110100100000010111010010011101111010011101000000000000000000000000000000000000000100001000001010000000000000000000110000001101001111000000001000010000101000000111111111010011000111011010110101111101001000000101110100100101011110100011010000001000000000000000000000000000000001000010000010100000000000000000001100000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101000110100000010000000000000000000000000000000010000100000101000000000000000000010100000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000100000000000000000000000000000000100001000001010000000000000000000101000001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000001000000000000000000000000000000001000010000010100000000000000000001010000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000010000000000000000000000000000000010000100000101000000000000000000010100000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000100000000000000000000000000000000100001000001010000000000000000000111000001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000001000000000000000000000000000000001000010000010100000000000000000001110000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000010000000000000000000000000000000010000100000101000000000000000000011100000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010001101000000000000000001000000000000000000000100001000001010000000000000000000111000001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100011010000000000000000010000000000000000000001000010000010100000000000000000001001000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000000000000000100000000000000000000010000100000101000000000000000000010010000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000000000000001000000000000000000000100001000001010000000000000000000100100001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000000000000000010000000000000000000001000010000010100000000000000000001001000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000000000000000100000000000000000000010000100000101000000000000000000011010000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000000000000001000000000000000000000100001000001010000000000000000000110100001101001111000000001000010000100000000111111111010011000111011010100001101101001000000101110100100111011110100111010000000000000000010000000000000000000001000010000010100000000000000000001101000011010011110000000010000100001000000001111111110100110001110110101000011011010010000001011101001001010111101000110100000010000000000100000000000000000000010000100000101000000000000000000011010000110100111100000000100001000010000000011111111101001100011101101010000110110100100000010111010010010101111010001101000000100000000001000000000000000000000100001000001010000000000000000000101100001101001111000000001000010000100000000111111111010011000111011010100001101101001000000101110100100111011110100011010000001000000000010000000000000000000001000010000010100000000000000000001011000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000010000000000100000000000000000000010000100000101000000000000000000010110000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000100000000001000000000000000000000100001000001010000000000000000000101100001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000001000000000010000000000000000000001000010000010100000000000000000001111000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000010000000000100000000000000000000010000100000101000000000000000000011110000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000100000000001000000000000000000000100001000001010000000000000000000111100001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100011010000000000000000000000000000100000000001000010000010100000000000000000001111000011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101000110100000000000000000000000000001000000000010000100000101000000000000000000010001000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000000000000000000000000010000000000100001000001010000000000000000000100010001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000000000000000000000000000100000000001000010000010100000000000000000001000100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000000000000000000000000001000000000010000100000101000000000000000000010001000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000000000000000000000000010000000000100001000001010000000000000000000110010001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000000000000000000000000000100000000001000010000010100000000000000000001100100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000000000000000000000000001000000000010000100000101000000000000000000011001000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010001101000000100000000000000000000010000000000100001000001010000000000000000000110010001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100011010000001000000000000000000000100000000001000010000010100000000000000000001010100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000010000000000000000000001000000000010000100000101000000000000000000010101000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000100000000000000000000010000000000100001000001010000000000000000000101010001101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000001000000000000000000000100000000001000010000010100000000000000000001010100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000010000000000000000000001000000000010000100000101000000000000000000011101000110100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000100000000000000000000010000000000100001000001010000000000000000000111010001101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100111010000001000000000000000000000100000000001000010000010100000000000000000001110100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101000110100000000000000000100000000001000000000010000100000101000000000000000000011101000110100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010001101000000000000000001000000000010000000000100001000001010000000000000000000100110001101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100011010000000000000000010000000000100000000001000010000010100000000000000000001001100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101000110100000000000000000100000000001000000000010000100000101000000000000000000010011000110100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010011101000000000000000001000000000010000000000100001000001010000000000000000000100110001101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100111010000000000000000010000000000100000000001000010000010100000000000000000001101100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101001110100000000000000000100000000001000000000010000100000101000000000000000000011011000110100111100000000100001000010000000011111111101001100011101101010000110110100100000011011010010011101111010011101000000000000000001000000000010000000000100001000001010000000000000000000110110001101001111000000001000010000100000000111111111010011000111011010100001101101001000000110110100100101011110100011010000001000000000010000000000100000000001000010000010100000000000000000001101100011010011110000000010000100001000000001111111110100110001110110101000011011010010000001101101001001010111101000110100000010000000000100000000001000000000010000100000101000000000000000000010111000110100111100000000100001000010000000011111111101001100011101101010000110110100100000011011010010011101111010001101000000100000000001000000000010000000000100001000001010000000000000000000101110001101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100011010000001000000000010000000000100000000001000010000010100000000000000000001011100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101001110100000010000000000100000000001000000000010000100000101000000000000000000010111000110100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010011101000000100000000001000000000010000000000100001000001010000000000000000000111110001101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100111010000001000000000010000000000100000000001000010000010100000000000000000001111100011010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101001110100000010000000000100000000001000000000010000100000101000000000000000000011111000110100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010001101000000000000000000000000000000000000000000000100001010000000000000000000111110001101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100011010000000000000000000000000000000000000000000001000010100000000000000000001000000000010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101000110100000000000000000000000000000000000000000000010000101000000000000000000010000000000100111100000000100001000010100000011111111101011100011101101010000110110100100000011011010010011101111010001101000000000000000000000000000000000000000000000100001010000000000000000000100000000001001111000000001000010000101000000111111111010111000111011010100001101101001000000110110100100101011110100111010000000000000000000000000000000000000000000001000010100000000000000000001000000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000000000000000000000000000000000000000000010000101000000000000000000011000000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000000000000000000000000000000000000000000100001010000000000000000000110000000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000000000000000000000000000000000000000000001000010100000000000000000001100000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101000110100000010000000000000000000000000000000000000010000101000000000000000000011000000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010001101000000100000000000000000000000000000000000000100001010000000000000000000101000000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100011010000001000000000000000000000000000000000000001000010100000000000000000001010000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101000110100000010000000000000000000000000000000000000010000101000000000000000000010100000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000100000000000000000000000000000000000000100001010000000000000000000101000000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000001000000000000000000000000000000000000001000010100000000000000000001110000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000010000000000000000000000000000000000000010000101000000000000000000011100000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000100000000000000000000000000000000000000100001010000000000000000000111000000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100011010000000000000000010000000000000000000000000001000010100000000000000000001110000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101000110100000000000000000100000000000000000000000000010000101000000000000000000010010000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010001101000000000000000001000000000000000000000000000100001010000000000000000000100100000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100011010000000000000000010000000000000000000000000001000010100000000000000000001001000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000000000000000100000000000000000000000000010000101000000000000000000010010000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000000000000001000000000000000000000000000100001010000000000000000000110100000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000000000000000010000000000000000000000000001000010100000000000000000001101000000010011110000000010000100001000000001111111110101110001110110101100000001010010000001101101001001110111101001110100000000000000000100000000000000000000000000010000101000000000000000000011010000000100111100000000100001000010000000011111111101011100011101101011000000010100100000011011010010010101111010001101000000100000000001000000000000000000000000000100001010000000000000000000110100000001001111000000001000010000100000000111111111010111000111011010110000000101001000000110110100100101011110100011010000001000000000010000000000000000000000000001000010100000000000000000001011000000010011110000000010000100001000000001111111110101110001110110101100000001010010000001101101001001110111101000110100000010000000000100000000000000000000000000010000101000000000000000000010110000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010001101000000100000000001000000000000000000000000000100001010000000000000000000101100000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000001000000000010000000000000000000000000001000010100000000000000000001011000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000010000000000100000000000000000000000000010000101000000000000000000011110000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000100000000001000000000000000000000000000100001010000000000000000000111100000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000001000000000010000000000000000000000000001000010100000000000000000001111000000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101000110100000000000000000000000000001000000000000000010000101000000000000000000011110000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010001101000000000000000000000000000010000000000000000100001010000000000000000000100010000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100011010000000000000000000000000000100000000000000001000010100000000000000000001000100000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101000110100000000000000000000000000001000000000000000010000101000000000000000000010001000000100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000000000000000000000000010000000000000000100001010000000000000000000100010000001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000000000000000000000000000100000000000000001000010100000000000000000001100100000010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000000000000000000000000001000000000000000010000101000000000000000000011001000000100111100000000100001000010100000011111111101011100010011101011000000010100100000011011010010011101111010011101000000000000000000000000000010000000000000000100001010000000000000000000110010000001001111000000001000010000101000000111111111010111000100111010110000000101001000000110110100100101011110100011010000001000000000000000000000100000000000000001000010100000000000000000001100100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101000110100000010000000000000000000001000000000000000010000101000000000000000000010101000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000100000000000000000000010000000000000000100001010000000000000000000101010000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000001000000000000000000000100000000000000001000010100000000000000000001010100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000010000000000000000000001000000000000000010000101000000000000000000010101000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000100000000000000000000010000000000000000100001010000000000000000000111010000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000001000000000000000000000100000000000000001000010100000000000000000001110100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000010000000000000000000001000000000000000010000101000000000000000000011101000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010001101000000000000000001000000000010000000000000000100001010000000000000000000111010000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100011010000000000000000010000000000100000000000000001000010100000000000000000001001100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000000000000000100000000001000000000000000010000101000000000000000000010011000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000000000000001000000000010000000000000000100001010000000000000000000100110000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000000000000000010000000000100000000000000001000010100000000000000000001001100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000000000000000100000000001000000000000000010000101000000000000000000011011000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000000000000001000000000010000000000000000100001010000000000000000000110110000001001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100111011110100111010000000000000000010000000000100000000000000001000010100000000000000000001101100000010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001010111101000110100000010000000000100000000001000000000000000010000101000000000000000000011011000000100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010010101111010001101000000100000000001000000000010000000000000000100001010000000000000000000101110000001001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100111011110100011010000001000000000010000000000100000000000000001000010100000000000000000001011100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000010000000000100000000001000000000000000010000101000000000000000000010111000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000100000000001000000000010000000000000000100001010000000000000000000101110000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000001000000000010000000000100000000000000001000010100000000000000000001111100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000010000000000100000000001000000000000000010000101000000000000000000011111000000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000100000000001000000000010000000000000000100001010000000000000000000111110000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100011010000000000000000000000000000000000000001000001000010100000000000000000001111100000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101000110100000000000000000000000000000000000000010000010000101000000000000000000010000100000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000000000000000000000000000000000000100000100001010000000000000000000100001000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000000000000000000000000000000000000001000001000010100000000000000000001000010000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000000000000000000000000000000000000010000010000101000000000000000000010000100000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000000000000000000000000000000000000100000100001010000000000000000000110001000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000000000000000000000000000000000000001000001000010100000000000000000001100010000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000000000000000000000000000000000000010000010000101000000000000000000011000100000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010001101000000100000000000000000000000000000000100000100001010000000000000000000110001000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100011010000001000000000000000000000000000000001000001000010100000000000000000001010010000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000010000000000000000000000000000000010000010000101000000000000000000010100100000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000100000000000000000000000000000000100000100001010000000000000000000101001000001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000001000000000000000000000000000000001000001000010100000000000000000001010010000010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000010000000000000000000000000000000010000010000101000000000000000000011100100000100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000100000000000000000000000000000000100000100001010000000000000000000111001000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100111010000001000000000000000000000000000000001000001000010100000000000000000001110010000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101000110100000000000000000100000000000000000000010000010000101000000000000000000011100100000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010001101000000000000000001000000000000000000000100000100001010000000000000000000100101000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100011010000000000000000010000000000000000000001000001000010100000000000000000001001010000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101000110100000000000000000100000000000000000000010000010000101000000000000000000010010100000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010011101000000000000000001000000000000000000000100000100001010000000000000000000100101000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100111010000000000000000010000000000000000000001000001000010100000000000000000001101010000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101001110100000000000000000100000000000000000000010000010000101000000000000000000011010100000100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010011101111010011101000000000000000001000000000000000000000100000100001010000000000000000000110101000001001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100101011110100011010000001000000000010000000000000000000001000001000010100000000000000000001101010000010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001010111101000110100000010000000000100000000000000000000010000010000101000000000000000000010110100000100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010011101111010001101000000100000000001000000000000000000000100000100001010000000000000000000101101000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100011010000001000000000010000000000000000000001000001000010100000000000000000001011010000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101001110100000010000000000100000000000000000000010000010000101000000000000000000010110100000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010011101000000100000000001000000000000000000000100000100001010000000000000000000111101000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100111010000001000000000010000000000000000000001000001000010100000000000000000001111010000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101001110100000010000000000100000000000000000000010000010000101000000000000000000011110100000100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010001101000000000000000000000000000010000000000100000100001010000000000000000000111101000001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100011010000000000000000000000000000100000000001000001000010100000000000000000001000110000010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101000110100000000000000000000000000001000000000010000010000101000000000000000000010001100000100111100000000100001000010100000011111111101001100011011101011000110110100100100011011010010011101111010001101000000000000000000000000000010000000000100000100001010000000000000000000100011000001001111000000001000010000101000000111111111010011000110111010110001101101001001000110110100100101011110100111010000000000000000000000000000100000000001000001000010100000000000000000001000110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101001110100000000000000000000000000001000000000010000010000101000000000000000000011001100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010011101000000000000000000000000000010000000000100000100001010000000000000000000110011000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100111010000000000000000000000000000100000000001000001000010100000000000000000001100110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101000110100000010000000000000000000001000000000010000010000101000000000000000000011001100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010001101000000100000000000000000000010000000000100000100001010000000000000000000101011000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100011010000001000000000000000000000100000000001000001000010100000000000000000001010110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101000110100000010000000000000000000001000000000010000010000101000000000000000000010101100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010011101000000100000000000000000000010000000000100000100001010000000000000000000101011000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100111010000001000000000000000000000100000000001000001000010100000000000000000001110110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101001110100000010000000000000000000001000000000010000010000101000000000000000000011101100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010011101000000100000000000000000000010000000000100000100001010000000000000000000111011000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100011010000000000000000010000000000100000000001000001000010100000000000000000001110110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101000110100000000000000000100000000001000000000010000010000101000000000000000000010011100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010001101000000000000000001000000000010000000000100000100001010000000000000000000100111000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100011010000000000000000010000000000100000000001000001000010100000000000000000001001110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101001110100000000000000000100000000001000000000010000010000101000000000000000000010011100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010011101000000000000000001000000000010000000000100000100001010000000000000000000110111000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100111010000000000000000010000000000100000000001000001000010100000000000000000001101110000010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001110111101001110100000000000000000100000000001000000000010000010000101000000000000000000011011100000100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010010101111010001101000000100000000001000000000010000000000100000100001010000000000000000000110111000001001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100101011110100011010000001000000000010000000000100000000001000001000010100000000000000000001011110000010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001110111101000110100000010000000000100000000001000000000010000010000101000000000000000000010111100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010001101000000100000000001000000000010000000000100000100001010000000000000000000101111000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100111010000001000000000010000000000100000000001000001000010100000000000000000001011110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101001110100000010000000000100000000001000000000010000010000101000000000000000000011111100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010011101000000100000000001000000000010000000000100000100001010000000000000000000111111000001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100111010000001000000000010000000000100000000001000001000010100000000000000000001111110000010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101000110100000000000000000000000000000000000000000000110000101000000000000000000011111100000100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010001101000000000000000000000000000000000000000000001100001010000000000000000000100000100001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100011010000000000000000000000000000000000000000000011000010100000000000000000001000001000010011110000000010000100001010000001111111110100000000000000000000000001010010010001101101001001110111101000110100000000000000000000000000000000000000000000110000101000000000000000000010000010000100111100000000100001000010100000011111111101000000000000000000000000010100100100011011010010010101111010011101000000000000000000000000000000000000000000001100001010000000000000000000100000100001001111000000001000010000101000000111111111010000000000000000000011110101001001000110110100100101011110100111010000000000000000000000000000000000000000000011000010100000000000000000001100001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101001110100000000000000000000000000000000000000000000110000101000000000000000000011000010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010011101000000000000000000000000000000000000000000001100001010000000000000000000110000100001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100011010000001000000000000000000000000000000000000011000010100000000000000000001100001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101000110100000010000000000000000000000000000000000000110000101000000000000000000010100010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010001101000000100000000000000000000000000000000000001100001010000000000000000000101000100001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100011010000001000000000000000000000000000000000000011000010100000000000000000001010001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101001110100000010000000000000000000000000000000000000110000101000000000000000000010100010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010011101000000100000000000000000000000000000000000001100001010000000000000000000111000100001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100111010000001000000000000000000000000000000000000011000010100000000000000000001110001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101001110100000010000000000000000000000000000000000000110000101000000000000000000011100010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010001101000000000000000001000000000000000000000000001100001010000000000000000000111000100001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100011010000000000000000010000000000000000000000000011000010100000000000000000001001001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101000110100000000000000000100000000000000000000000000110000101000000000000000000010010010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010001101000000000000000001000000000000000000000000001100001010000000000000000000100100100001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100111010000000000000000010000000000000000000000000011000010100000000000000000001001001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101001110100000000000000000100000000000000000000000000110000101000000000000000000011010010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010011101000000000000000001000000000000000000000000001100001010000000000000000000110100100001001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100111011110100111010000000000000000010000000000000000000000000011000010100000000000000000001101001000010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001010111101000110100000010000000000100000000000000000000000000110000101000000000000000000011010010000100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010010101111010001101000000100000000001000000000000000000000000001100001010000000000000000000101100100001001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100111011110100011010000001000000000010000000000000000000000000011000010100000000000000000001011001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101000110100000010000000000100000000000000000000000000110000101000000000000000000010110010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010011101000000100000000001000000000000000000000000001100001010000000000000000000101100100001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100111010000001000000000010000000000000000000000000011000010100000000000000000001111001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101001110100000010000000000100000000000000000000000000110000101000000000000000000011110010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010011101000000100000000001000000000000000000000000001100001010000000000000000000111100100001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100011010000000000000000000000000000100000000000000011000010100000000000000000001111001000010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101000110100000000000000000000000000001000000000000000110000101000000000000000000010001010000100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010001101000000000000000000000000000010000000000000001100001010000000000000000000100010100001001111000000001000010000101000000111111111010100000000000000000101010101001001000110110100100111011110100011010000000000000000000000000000100000000000000011000010100000000000000000001000101000010011110000000010000100001010000001111111110101000000000000000001010101010010010001101101001001010111101001110100000000000000000000000000001000000000000000110000101000000000000000000010001010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010011101000000000000000000000000000010000000000000001100001010000000000000000000110010100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100111010000000000000000000000000000100000000000000011000010100000000000000000001100101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101001110100000000000000000000000000001000000000000000110000101000000000000000000011001010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010001101000000100000000000000000000010000000000000001100001010000000000000000000110010100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100011010000001000000000000000000000100000000000000011000010100000000000000000001010101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101000110100000010000000000000000000001000000000000000110000101000000000000000000010101010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010001101000000100000000000000000000010000000000000001100001010000000000000000000101010100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100111010000001000000000000000000000100000000000000011000010100000000000000000001010101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101001110100000010000000000000000000001000000000000000110000101000000000000000000011101010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010011101000000100000000000000000000010000000000000001100001010000000000000000000111010100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100111010000001000000000000000000000100000000000000011000010100000000000000000001110101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101000110100000000000000000100000000001000000000000000110000101000000000000000000011101010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010001101000000000000000001000000000010000000000000001100001010000000000000000000100110100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100011010000000000000000010000000000100000000000000011000010100000000000000000001001101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101000110100000000000000000100000000001000000000000000110000101000000000000000000010011010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010011101000000000000000001000000000010000000000000001100001010000000000000000000100110100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100111010000000000000000010000000000100000000000000011000010100000000000000000001101101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101001110100000000000000000100000000001000000000000000110000101000000000000000000011011010000100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010011101111010011101000000000000000001000000000010000000000000001100001010000000000000000000110110100001001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100101011110100011010000001000000000010000000000100000000000000011000010100000000000000000001101101000010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001010111101000110100000010000000000100000000001000000000000000110000101000000000000000000010111010000100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010011101111010001101000000100000000001000000000010000000000000001100001010000000000000000000101110100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100011010000001000000000010000000000100000000000000011000010100000000000000000001011101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101001110100000010000000000100000000001000000000000000110000101000000000000000000010111010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010011101000000100000000001000000000010000000000000001100001010000000000000000000111110100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100111010000001000000000010000000000100000000000000011000010100000000000000000001111101000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101001110100000010000000000100000000001000000000000000110000101000000000000000000011111010000100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010001101000000000000000000000000000000000000000100001100001010000000000000000000111110100001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100011010000000000000000000000000000000000000001000011000010100000000000000000001000011000010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101000110100000000000000000000000000000000000000010000110000101000000000000000000010000110000100111100000000100001000010100000011111111101010000001101010111100000010100100100011011010010011101111010001101000000000000000000000000000000000000000100001100001010000000000000000000100001100001001111000000001000010000101000000111111111010100000011010101111000000101001001000110110100100101011110100111010000000000000000000000000000000000000001000011000010100000000000000000001000011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001010111101001110100000000000000000000000000000000000000010000110000101000000000000000000011000110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011101111010011101000000000000000000000000000000000000000100001100001010000000000000000000110001100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100111011110100111010000000000000000000000000000000000000001000011000010100000000000000000001100011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001010111101000110100000010000000000000000000000000000000010000110000101000000000000000000011000110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010101111010001101000000100000000000000000000000000000000100001100001010000000000000000000101001100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100111011110100011010000001000000000000000000000000000000001000011000010100000000000000000001010011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001110111101000110100000010000000000000000000000000000000010000110000101000000000000000000010100110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010101111010011101000000100000000000000000000000000000000100001100001010000000000000000000101001100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100101011110100111010000001000000000000000000000000000000001000011000010100000000000000000001110011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001110111101001110100000010000000000000000000000000000000010000110000101000000000000000000011100110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011101111010011101000000100000000000000000000000000000000100001100001010000000000000000000111001100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100011010000000000000000010000000000000000000001000011000010100000000000000000001110011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101000110100000000000000000100000000000000000000010000110000101000000000000000000010010110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111101111010001101000000000000000001000000000000000000000100001100001010000000000000000000100101100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101111011110100011010000000000000000010000000000000000000001000011000010100000000000000000001001011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101001110100000000000000000100000000000000000000010000110000101000000000000000000010010110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010011101000000000000000001000000000000000000000100001100001010000000000000000000110101100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101111011110100111010000000000000000010000000000000000000001000011000010100000000000000000001101011000010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011110111101001110100000000000000000100000000000000000000010000110000101000000000000000000011010110000100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010110101111010001101000000100000000001000000000000000000000100001100001010000000000000000000110101100001001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101101011110100011010000001000000000010000000000000000000001000011000010100000000000000000001011011000010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011110111101000110100000010000000000100000000000000000000010000110000101000000000000000000010110110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111101111010001101000000100000000001000000000000000000000100001100001010000000000000000000101101100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100111010000001000000000010000000000000000000001000011000010100000000000000000001011011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101001110100000010000000000100000000000000000000010000110000101000000000000000000011110110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111101111010011101000000100000000001000000000000000000000100001100001010000000000000000000111101100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101111011110100111010000001000000000010000000000000000000001000011000010100000000000000000001111011000010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101000110100000000000000000000000000001000000000010000110000101000000000000000000011110110000100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010001101000000000000000000000000000010000000000100001100001010000000000000000000100011100001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101111011110100011010000000000000000000000000000100000000001000011000010100000000000000000001000111000010011110000000010000100001010000001111111110101110001101110101111111111010010110001101101001011110111101000110100000000000000000000000000001000000000010000110000101000000000000000000010001110000100111100000000100001000010100000011111111101011100011011101011111111110100101100011011010010110101111010011101000000000000000000000000000010000000000100001100001010000000000000000000100011100001001111000000001000010000101000000111111111010111000110111010100000000101001011000110110100101101011110100111010000000000000000000000000000100000000001000011000010100000000000000000001100111000010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011110111101001110100000000000000000000000000001000000000010000110000101000000000000000000011001110000100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111101111010011101000000000000000000000000000010000000000100001100001010000000000000000000110011100001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101101011110100011010000001000000000000000000000100000000001000011000010100000000000000000001100111000010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011010111101000110100000010000000000000000000001000000000010000110000101000000000000000000010101110000100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111101111010001101000000100000000000000000000010000000000100001100001010000000000000000000101011100001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101111011110100011010000001000000000000000000000100000000001000011000010100000000000000000001010111000010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011010111101001110100000010000000000000000000001000000000010000110000101000000000000000000010101110000100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110101111010011101000000100000000000000000000010000000000100001100001010000000000000000000111011100001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101111011110100111010000001000000000000000000000100000000001000011000010100000000000000000001110111000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101001110100000010000000000000000000001000000000010000110000101000000000000000000011101110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010001101000000000000000001000000000010000000000100001100001010000000000000000000111011100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100011010000000000000000010000000000100000000001000011000010100000000000000000001001111000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101000110100000000000000000100000000001000000000010000110000101000000000000000000010011110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010001101000000000000000001000000000010000000000100001100001010000000000000000000100111100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100111010000000000000000010000000000100000000001000011000010100000000000000000001001111000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101001110100000000000000000100000000001000000000010000110000101000000000000000000011011110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010011101000000000000000001000000000010000000000100001100001010000000000000000000110111100001001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101111011110100111010000000000000000010000000000100000000001000011000010100000000000000000001101111000010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011010111101000110100000010000000000100000000001000000000010000110000101000000000000000000011011110000100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010110101111010001101000000100000000001000000000010000000000100001100001010000000000000000000101111100001001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101111011110100011010000001000000000010000000000100000000001000011000010100000000000000000001011111000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101000110100000010000000000100000000001000000000010000110000101000000000000000000010111110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010011101000000100000000001000000000010000000000100001100001010000000000000000000101111100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100111010000001000000000010000000000100000000001000011000010100000000000000000001111111000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101001110100000010000000000100000000001000000000010000110000101000000000000000000011111110000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010011101000000100000000001000000000010000000000100001100001010000000000000000000111111100001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100011010000000000000000000000000000000000000000000000100010100000000000000000001111111000010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101000110100000000000000000000000000000000000000000000001000101000000000000000000010000001000100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010001101000000000000000000000000000000000000000000000010001010000000000000000000100000010001001111000000001000010000101000000111111111010011000101111010110110001101001011011000110100101111011110100011010000000000000000000000000000000000000000000000100010100000000000000000001000000100010011110000000010000100001010000001111111110100110001011110101101100011010010110110001101001011010111101001110100000000000000000000000000000000000000000000001000101000000000000000000010000001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010011101000000000000000000000000000000000000000000000010001010000000000000000000110000010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100111010000000000000000000000000000000000000000000000100010100000000000000000001100000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101001110100000000000000000000000000000000000000000000001000101000000000000000000011000001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010001101000000100000000000000000000000000000000000000010001010000000000000000000110000010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100011010000001000000000000000000000000000000000000000100010100000000000000000001010000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101000110100000010000000000000000000000000000000000000001000101000000000000000000010100001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010001101000000100000000000000000000000000000000000000010001010000000000000000000101000010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100111010000001000000000000000000000000000000000000000100010100000000000000000001010000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101001110100000010000000000000000000000000000000000000001000101000000000000000000011100001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010011101000000100000000000000000000000000000000000000010001010000000000000000000111000010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100111010000001000000000000000000000000000000000000000100010100000000000000000001110000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101000110100000000000000000100000000000000000000000000001000101000000000000000000011100001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010001101000000000000000001000000000000000000000000000010001010000000000000000000100100010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100011010000000000000000010000000000000000000000000000100010100000000000000000001001000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101000110100000000000000000100000000000000000000000000001000101000000000000000000010010001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010011101000000000000000001000000000000000000000000000010001010000000000000000000100100010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100111010000000000000000010000000000000000000000000000100010100000000000000000001101000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101001110100000000000000000100000000000000000000000000001000101000000000000000000011010001000100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010111101111010011101000000000000000001000000000000000000000000000010001010000000000000000000110100010001001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101101011110100011010000001000000000010000000000000000000000000000100010100000000000000000001101000100010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011010111101000110100000010000000000100000000000000000000000000001000101000000000000000000010110001000100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010111101111010001101000000100000000001000000000000000000000000000010001010000000000000000000101100010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100011010000001000000000010000000000000000000000000000100010100000000000000000001011000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101001110100000010000000000100000000000000000000000000001000101000000000000000000010110001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010011101000000100000000001000000000000000000000000000010001010000000000000000000111100010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100111010000001000000000010000000000000000000000000000100010100000000000000000001111000100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101001110100000010000000000100000000000000000000000000001000101000000000000000000011110001000100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010001101000000000000000000000000000010000000000000000010001010000000000000000000111100010001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100011010000000000000000000000000000100000000000000000100010100000000000000000001000100100010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101000110100000000000000000000000000001000000000000000001000101000000000000000000010001001000100111100000000100001000010100000011111111101011100010111101011110000010100101101100011010010111101111010001101000000000000000000000000000010000000000000000010001010000000000000000000100010010001001111000000001000010000101000000111111111010111000101111010111100000101001011011000110100101101011110100111010000000000000000000000000000100000000000000000100010100000000000000000001000100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000000000000000000000000001000000000000000001000101000000000000000000011001001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000000000000000000000000010000000000000000010001010000000000000000000110010010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000000000000000000000000000100000000000000000100010100000000000000000001100100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000010000000000000000000001000000000000000001000101000000000000000000011001001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010001101000000100000000000000000000010000000000000000010001010000000000000000000101010010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100011010000001000000000000000000000100000000000000000100010100000000000000000001010100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000010000000000000000000001000000000000000001000101000000000000000000010101001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000100000000000000000000010000000000000000010001010000000000000000000101010010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000001000000000000000000000100000000000000000100010100000000000000000001110100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000010000000000000000000001000000000000000001000101000000000000000000011101001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000100000000000000000000010000000000000000010001010000000000000000000111010010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000000000000000010000000000100000000000000000100010100000000000000000001110100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000000000000000100000000001000000000000000001000101000000000000000000010011001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000000000000001000000000010000000000000000010001010000000000000000000100110010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100011010000000000000000010000000000100000000000000000100010100000000000000000001001100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000000000000000100000000001000000000000000001000101000000000000000000010011001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000000000000001000000000010000000000000000010001010000000000000000000110110010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000000000000000010000000000100000000000000000100010100000000000000000001101100100010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011110111101001110100000000000000000100000000001000000000000000001000101000000000000000000011011001000100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010110101111010001101000000100000000001000000000010000000000000000010001010000000000000000000110110010001001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101101011110100011010000001000000000010000000000100000000000000000100010100000000000000000001011100100010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011110111101000110100000010000000000100000000001000000000000000001000101000000000000000000010111001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000100000000001000000000010000000000000000010001010000000000000000000101110010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000001000000000010000000000100000000000000000100010100000000000000000001011100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000010000000000100000000001000000000000000001000101000000000000000000011111001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000100000000001000000000010000000000000000010001010000000000000000000111110010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000001000000000010000000000100000000000000000100010100000000000000000001111100100010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000000000000000000000000000000000000010000001000101000000000000000000011111001000100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010001101000000000000000000000000000000000000000100000010001010000000000000000000100001010001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100011010000000000000000000000000000000000000001000000100010100000000000000000001000010100010011110000000010000100001010000001111111110101000100110000000000001001111111110110001101001011110111101000110100000000000000000000000000000000000000010000001000101000000000000000000010000101000100111100000000100001000010100000011111111111010001001100000000000010011111111101100011010010110101111010011101000000000000000000000000000000000000000100000010001010000000000000000000100001010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100111010000000000000000000000000000000000000001000000100010100000000000000000001100010100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000000000000000000000000000000000000010000001000101000000000000000000011000101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000000000000000000000000000000000000100000010001010000000000000000000110001010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000001000000000000000000000000000000001000000100010100000000000000000001100010100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101000110100000010000000000000000000000000000000010000001000101000000000000000000010100101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010001101000000100000000000000000000000000000000100000010001010000000000000000000101001010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100011010000001000000000000000000000000000000001000000100010100000000000000000001010010100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101001110100000010000000000000000000000000000000010000001000101000000000000000000010100101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010011101000000100000000000000000000000000000000100000010001010000000000000000000111001010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000001000000000000000000000000000000001000000100010100000000000000000001110010100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000010000000000000000000000000000000010000001000101000000000000000000011100101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000000000000001000000000000000000000100000010001010000000000000000000111001010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000000000000000010000000000000000000001000000100010100000000000000000001001010100010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011110111101000110100000000000000000100000000000000000000010000001000101000000000000000000010010101000100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010111101111010001101000000000000000001000000000000000000000100000010001010000000000000000000100101010001001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101101011110100111010000000000000000010000000000000000000001000000100010100000000000000000001001010100010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011010111101001110100000000000000000100000000000000000000010000001000101000000000000000000011010101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000000000000001000000000000000000000100000010001010000000000000000000110101010001001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101111011110100111010000000000000000010000000000000000000001000000100010100000000000000000001101010100010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011010111101000110100000010000000000100000000000000000000010000001000101000000000000000000011010101000100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010110101111010001101000000100000000001000000000000000000000100000010001010000000000000000000101101010001001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101111011110100011010000001000000000010000000000000000000001000000100010100000000000000000001011010100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101000110100000010000000000100000000000000000000010000001000101000000000000000000010110101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010011101000000100000000001000000000000000000000100000010001010000000000000000000101101010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100111010000001000000000010000000000000000000001000000100010100000000000000000001111010100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000010000000000100000000000000000000010000001000101000000000000000000011110101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000100000000001000000000000000000000100000010001010000000000000000000111101010001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000000000000000000000000000100000000001000000100010100000000000000000001111010100010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101000110100000000000000000000000000001000000000010000001000101000000000000000000010001101000100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010001101000000000000000000000000000010000000000100000010001010000000000000000000100011010001001111000000001000010000101000000111111111110011000111111010111111111101001011011000110100101111011110100011010000000000000000000000000000100000000001000000100010100000000000000000001000110100010011110000000010000100001010000001111111110100110001111110101111111111010010110110001101001011010111101001110100000000000000000000000000001000000000010000001000101000000000000000000010001101000100111100000000100001000010100000011111111101001100011111101011111111110100101101100011010010110101111010011101000000000000000000000000000010000000000100000010001010000000000000000000110011010001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101111011110100111010000000000000000000000000000100000000001000000100010100000000000000000001100110100010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011110111101001110100000000000000000000000000001000000000010000001000101000000000000000000011001101000100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110101111010001101000000100000000000000000000010000000000100000010001010000000000000000000110011010001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101101011110100011010000001000000000000000000000100000000001000000100010100000000000000000001010110100010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011110111101000110100000010000000000000000000001000000000010000001000101000000000000000000010101101000100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111101111010001101000000100000000000000000000010000000000100000010001010000000000000000000101011010001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101101011110100111010000001000000000000000000000100000000001000000100010100000000000000000001010110100010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011010111101001110100000010000000000000000000001000000000010000001000101000000000000000000011101101000100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111101111010011101000000100000000000000000000010000000000100000010001010000000000000000000111011010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000001000000000000000000000100000000001000000100010100000000000000000001110110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000000000000000100000000001000000000010000001000101000000000000000000011101101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010001101000000000000000001000000000010000000000100000010001010000000000000000000100111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000000000000000010000000000100000000001000000100010100000000000000000001001110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000000000000000100000000001000000000010000001000101000000000000000000010011101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000000000000001000000000010000000000100000010001010000000000000000000100111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000000000000000010000000000100000000001000000100010100000000000000000001101110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000000000000000100000000001000000000010000001000101000000000000000000011011101000100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010111101111010011101000000000000000001000000000010000000000100000010001010000000000000000000110111010001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101101011110100011010000001000000000010000000000100000000001000000100010100000000000000000001101110100010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011010111101000110100000010000000000100000000001000000000010000001000101000000000000000000010111101000100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010111101111010001101000000100000000001000000000010000000000100000010001010000000000000000000101111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000001000000000010000000000100000000001000000100010100000000000000000001011110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000010000000000100000000001000000000010000001000101000000000000000000010111101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000100000000001000000000010000000000100000010001010000000000000000000111111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000001000000000010000000000100000000001000000100010100000000000000000001111110100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000010000000000100000000001000000000010000001000101000000000000000000011111101000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010001101000000000000000000000000000000000000000000001010001010000000000000000000111111010001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000000000000000000000000000000000000000000010100010100000000000000000001000001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000000000000000000000000000000000000000000101000101000000000000000000010000011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000000000000000000000000000000000000000001010001010000000000000000000100000110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000000000000000000000000000000000000000000010100010100000000000000000001000001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000000000000000000000000000000000000000000101000101000000000000000000011000011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000000000000000000000000000000000000000001010001010000000000000000000110000110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000000000000000000000000000000000000000000010100010100000000000000000001100001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000010000000000000000000000000000000000000101000101000000000000000000011000011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010001101000000100000000000000000000000000000000000001010001010000000000000000000101000110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000001000000000000000000000000000000000000010100010100000000000000000001010001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000010000000000000000000000000000000000000101000101000000000000000000010100011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000100000000000000000000000000000000000001010001010000000000000000000101000110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000001000000000000000000000000000000000000010100010100000000000000000001110001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000010000000000000000000000000000000000000101000101000000000000000000011100011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000100000000000000000000000000000000000001010001010000000000000000000111000110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100011010000000000000000010000000000000000000000000010100010100000000000000000001110001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101000110100000000000000000100000000000000000000000000101000101000000000000000000010010011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011101111010001101000000000000000001000000000000000000000000001010001010000000000000000000100100110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100111011110100011010000000000000000010000000000000000000000000010100010100000000000000000001001001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101001110100000000000000000100000000000000000000000000101000101000000000000000000010010011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010011101000000000000000001000000000000000000000000001010001010000000000000000000110100110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100111011110100111010000000000000000010000000000000000000000000010100010100000000000000000001101001100010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001001110111101001110100000000000000000100000000000000000000000000101000101000000000000000000011010011000100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010010101111010001101000000100000000001000000000000000000000000001010001010000000000000000000110100110001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100100101011110100011010000001000000000010000000000000000000000000010100010100000000000000000001011001100010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001001110111101000110100000010000000000100000000000000000000000000101000101000000000000000000010110011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011101111010001101000000100000000001000000000000000000000000001010001010000000000000000000101100110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100111010000001000000000010000000000000000000000000010100010100000000000000000001011001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101001110100000010000000000100000000000000000000000000101000101000000000000000000011110011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010011101111010011101000000100000000001000000000000000000000000001010001010000000000000000000111100110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100111011110100111010000001000000000010000000000000000000000000010100010100000000000000000001111001100010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101000110100000000000000000000000000001000000000000000101000101000000000000000000011110011000100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010001101000000000000000000000000000010000000000000001010001010000000000000000000100010110001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100111011110100011010000000000000000000000000000100000000000000010100010100000000000000000001000101100010011110000000010000100001010000001111111110101110001111110101010100111010010001010011101001001110111101000110100000000000000000000000000001000000000000000101000101000000000000000000010001011000100111100000000100001000010100000011111111101011100011111101010101001110100100010100111010010010101111010011101000000000000000000000000000010000000000000001010001010000000000000000000100010110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100101011110100111010000000000000000000000000000100000000000000010100010100000000000000000001100101100010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001110111101001110100000000000000000000000000001000000000000000101000101000000000000000000011001011000100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011101111010011101000000000000000000000000000010000000000000001010001010000000000000000000110010110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100101011110100011010000001000000000000000000000100000000000000010100010100000000000000000001100101100010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001010111101000110100000010000000000000000000001000000000000000101000101000000000000000000010101011000100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011101111010001101000000100000000000000000000010000000000000001010001010000000000000000000101010110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100111011110100011010000001000000000000000000000100000000000000010100010100000000000000000001010101100010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001010111101001110100000010000000000000000000001000000000000000101000101000000000000000000010101011000100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010101111010011101000000100000000000000000000010000000000000001010001010000000000000000000111010110001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100111011110100111010000001000000000000000000000100000000000000010100010100000000000000000001110101100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101001110100000010000000000000000000001000000000000000101000101000000000000000000011101011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010001101000000000000000001000000000010000000000000001010001010000000000000000000111010110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100011010000000000000000010000000000100000000000000010100010100000000000000000001001101100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101000110100000000000000000100000000001000000000000000101000101000000000000000000010011011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010001101000000000000000001000000000010000000000000001010001010000000000000000000100110110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100111010000000000000000010000000000100000000000000010100010100000000000000000001001101100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101001110100000000000000000100000000001000000000000000101000101000000000000000000011011011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010011101000000000000000001000000000010000000000000001010001010000000000000000000110110110001001111000000001000010000100000000111111111010111000111111010100001011101001000000101110100100111011110100111010000000000000000010000000000100000000000000010100010100000000000000000001101101100010011110000000010000100001000000001111111110101110001111110101000010111010010000001011101001001010111101000110100000010000000000100000000001000000000000000101000101000000000000000000011011011000100111100000000100001000010000000011111111101011100011111101010000101110100100000010111010010010101111010001101000000100000000001000000000010000000000000001010001010000000000000000000101110110001001111000000001000010000100000000111111111010111000111111010100001011101001000000101110100100111011110100011010000001000000000010000000000100000000000000010100010100000000000000000001011101100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101000110100000010000000000100000000001000000000000000101000101000000000000000000010111011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010011101000000100000000001000000000010000000000000001010001010000000000000000000101110110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100111010000001000000000010000000000100000000000000010100010100000000000000000001111101100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001110111101001110100000010000000000100000000001000000000000000101000101000000000000000000011111011000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010011101000000100000000001000000000010000000000000001010001010000000000000000000111110110001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100011010000000000000000000000000000000000000001000010100010100000000000000000001111101100010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101000110100000000000000000000000000000000000000010000101000101000000000000000000010000111000100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010001101000000000000000000000000000000000000000100001010001010000000000000000000100001110001001111000000001000010000101000000111111111010011000100000110100001011101001000000101110100100111011110100011010000000000000000000000000000000000000001000010100010100000000000000000001000011100010011110000000010000100001010000001111111110100110001000001101000010111010010000001011101001001010111101001110100000000000000000000000000000000000000010000101000101000000000000000000010000111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000000000000000000000000000000000000100001010001010000000000000000000110001110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000000000000000000000000000000000000001000010100010100000000000000000001100011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000000000000000000000000000000000000010000101000101000000000000000000011000111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010001101000000100000000000000000000000000000000100001010001010000000000000000000110001110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100011010000001000000000000000000000000000000001000010100010100000000000000000001010011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101000110100000010000000000000000000000000000000010000101000101000000000000000000010100111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010001101000000100000000000000000000000000000000100001010001010000000000000000000101001110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000001000000000000000000000000000000001000010100010100000000000000000001010011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000010000000000000000000000000000000010000101000101000000000000000000011100111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000100000000000000000000000000000000100001010001010000000000000000000111001110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000001000000000000000000000000000000001000010100010100000000000000000001110011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101000110100000000000000000100000000000000000000010000101000101000000000000000000011100111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010001101000000000000000001000000000000000000000100001010001010000000000000000000100101110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100011010000000000000000010000000000000000000001000010100010100000000000000000001001011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101000110100000000000000000100000000000000000000010000101000101000000000000000000010010111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000000000000001000000000000000000000100001010001010000000000000000000100101110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000000000000000010000000000000000000001000010100010100000000000000000001101011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000000000000000100000000000000000000010000101000101000000000000000000011010111000100111100000000100001000010000000011111111101001100010000011011010111110100100000010111010010011101111010011101000000000000000001000000000000000000000100001010001010000000000000000000110101110001001111000000001000010000100000000111111111010011000100000110110101111101001000000101110100100101011110100011010000001000000000010000000000000000000001000010100010100000000000000000001101011100010011110000000010000100001000000001111111110100110001000001101101011111010010000001011101001001010111101000110100000010000000000100000000000000000000010000101000101000000000000000000010110111000100111100000000100001000010000000011111111101001100010000011011010111110100100000010111010010011101111010001101000000100000000001000000000000000000000100001010001010000000000000000000101101110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100011010000001000000000010000000000000000000001000010100010100000000000000000001011011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000010000000000100000000000000000000010000101000101000000000000000000010110111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000100000000001000000000000000000000100001010001010000000000000000000111101110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000001000000000010000000000000000000001000010100010100000000000000000001111011100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000010000000000100000000000000000000010000101000101000000000000000000011110111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010001101000000000000000000000000000010000000000100001010001010000000000000000000111101110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100011010000000000000000000000000000100000000001000010100010100000000000000000001000111100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101000110100000000000000000000000000001000000000010000101000101000000000000000000010001111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010001101000000000000000000000000000010000000000100001010001010000000000000000000100011110001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000000000000000000000000000100000000001000010100010100000000000000000001000111100010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000000000000000000000000001000000000010000101000101000000000000000000011001111000100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000000000000000000000000010000000000100001010001010000000000000000000110011110001001111000000001000010000101000000111111111010011000111011010110101111101001000000101110100100111011110100111010000000000000000000000000000100000000001000010100010100000000000000000001100111100010011110000000010000100001010000001111111110100110001110110101101011111010010000001011101001001010111101000110100000010000000000000000000001000000000010000101000101000000000000000000011001111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010001101000000100000000000000000000010000000000100001010001010000000000000000000101011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000001000000000000000000000100000000001000010100010100000000000000000001010111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000010000000000000000000001000000000010000101000101000000000000000000010101111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000100000000000000000000010000000000100001010001010000000000000000000101011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000001000000000000000000000100000000001000010100010100000000000000000001110111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000010000000000000000000001000000000010000101000101000000000000000000011101111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000100000000000000000000010000000000100001010001010000000000000000000111011110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100011010000000000000000010000000000100000000001000010100010100000000000000000001110111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101000110100000000000000000100000000001000000000010000101000101000000000000000000010011111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000000000000001000000000010000000000100001010001010000000000000000000100111110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000000000000000010000000000100000000001000010100010100000000000000000001001111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000000000000000100000000001000000000010000101000101000000000000000000010011111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000000000000001000000000010000000000100001010001010000000000000000000110111110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000000000000000010000000000100000000001000010100010100000000000000000001101111100010011110000000010000100001000000001111111110100110001110110101000011011010010000001011101001001110111101001110100000000000000000100000000001000000000010000101000101000000000000000000011011111000100111100000000100001000010000000011111111101001100011101101010000110110100100000010111010010010101111010001101000000100000000001000000000010000000000100001010001010000000000000000000110111110001001111000000001000010000100000000111111111010011000111011010100001101101001000000101110100100101011110100011010000001000000000010000000000100000000001000010100010100000000000000000001011111100010011110000000010000100001000000001111111110100110001110110101000011011010010000001011101001001110111101000110100000010000000000100000000001000000000010000101000101000000000000000000010111111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000100000000001000000000010000000000100001010001010000000000000000000101111110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000001000000000010000000000100000000001000010100010100000000000000000001011111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000010000000000100000000001000000000010000101000101000000000000000000011111111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000100000000001000000000010000000000100001010001010000000000000000000111111110001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000001000000000010000000000100000000001000010100010100000000000000000001111111100010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101000110100000000000000000000000000000000000000000000011000101000000000000000000011111111000100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010001101000000000000000000000000000000000000000000000110001010000000000000000000100000001001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000000000000000000000000000000000000000000001100010100000000000000000001000000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000000000000000000000000000000000000000000011000101000000000000000000010000000100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000000000000000000000000000000000000000000110001010000000000000000000100000001001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000000000000000000000000000000000000000000001100010100000000000000000001100000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000000000000000000000000000000000000000000011000101000000000000000000011000000100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000000000000000000000000000000000000000000110001010000000000000000000110000001001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100011010000001000000000000000000000000000000000000001100010100000000000000000001100000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101000110100000010000000000000000000000000000000000000011000101000000000000000000010100000100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000100000000000000000000000000000000000000110001010000000000000000000101000001001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000001000000000000000000000000000000000000001100010100000000000000000001010000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000010000000000000000000000000000000000000011000101000000000000000000010100000100100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000100000000000000000000000000000000000000110001010000000000000000000111000001001001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000001000000000000000000000000000000000000001100010100000000000000000001110000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101001110100000010000000000000000000000000000000000000011000101000000000000000000011100000100100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010001101000000000000000001000000000000000000000000000110001010000000000000000000111000001001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100011010000000000000000010000000000000000000000000001100010100000000000000000001001000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101000110100000000000000000100000000000000000000000000011000101000000000000000000010010000100100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010001101000000000000000001000000000000000000000000000110001010000000000000000000100100001001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100111010000000000000000010000000000000000000000000001100010100000000000000000001001000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101001110100000000000000000100000000000000000000000000011000101000000000000000000011010000100100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010011101000000000000000001000000000000000000000000000110001010000000000000000000110100001001001111000000001000010000100000000111111111010011000111011010100001101101001000000110110100100111011110100111010000000000000000010000000000000000000000000001100010100000000000000000001101000010010011110000000010000100001000000001111111110100110001110110101000011011010010000001101101001001010111101000110100000010000000000100000000000000000000000000011000101000000000000000000011010000100100111100000000100001000010000000011111111101001100011101101010000110110100100000011011010010010101111010001101000000100000000001000000000000000000000000000110001010000000000000000000101100001001001111000000001000010000100000000111111111010011000111011010100001101101001000000110110100100111011110100011010000001000000000010000000000000000000000000001100010100000000000000000001011000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101000110100000010000000000100000000000000000000000000011000101000000000000000000010110000100100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010011101000000100000000001000000000000000000000000000110001010000000000000000000101100001001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100111010000001000000000010000000000000000000000000001100010100000000000000000001111000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001110111101001110100000010000000000100000000000000000000000000011000101000000000000000000011110000100100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010011101000000100000000001000000000000000000000000000110001010000000000000000000111100001001001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100011010000000000000000000000000000100000000000000001100010100000000000000000001111000010010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101000110100000000000000000000000000001000000000000000011000101000000000000000000010001000100100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010001101000000000000000000000000000010000000000000000110001010000000000000000000100010001001001111000000001000010000101000000111111111010111000111011010100001101101001000000110110100100111011110100011010000000000000000000000000000100000000000000001100010100000000000000000001000100010010011110000000010000100001010000001111111110101110001110110101000011011010010000001101101001001010111101001110100000000000000000000000000001000000000000000011000101000000000000000000010001000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000000000000000000000000010000000000000000110001010000000000000000000110010001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000000000000000000000000000100000000000000001100010100000000000000000001100100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000000000000000000000000001000000000000000011000101000000000000000000011001000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010001101000000100000000000000000000010000000000000000110001010000000000000000000110010001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100011010000001000000000000000000000100000000000000001100010100000000000000000001010100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101000110100000010000000000000000000001000000000000000011000101000000000000000000010101000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010001101000000100000000000000000000010000000000000000110001010000000000000000000101010001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000001000000000000000000000100000000000000001100010100000000000000000001010100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000010000000000000000000001000000000000000011000101000000000000000000011101000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000100000000000000000000010000000000000000110001010000000000000000000111010001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000001000000000000000000000100000000000000001100010100000000000000000001110100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101000110100000000000000000100000000001000000000000000011000101000000000000000000011101000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010001101000000000000000001000000000010000000000000000110001010000000000000000000100110001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100011010000000000000000010000000000100000000000000001100010100000000000000000001001100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101000110100000000000000000100000000001000000000000000011000101000000000000000000010011000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000000000000001000000000010000000000000000110001010000000000000000000100110001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000000000000000010000000000100000000000000001100010100000000000000000001101100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000000000000000100000000001000000000000000011000101000000000000000000011011000100100111100000000100001000010000000011111111101011100011101101011000000010100100000011011010010011101111010011101000000000000000001000000000010000000000000000110001010000000000000000000110110001001001111000000001000010000100000000111111111010111000111011010110000000101001000000110110100100101011110100011010000001000000000010000000000100000000000000001100010100000000000000000001101100010010011110000000010000100001000000001111111110101110001110110101100000001010010000001101101001001010111101000110100000010000000000100000000001000000000000000011000101000000000000000000010111000100100111100000000100001000010000000011111111101011100011101101011000000010100100000011011010010011101111010001101000000100000000001000000000010000000000000000110001010000000000000000000101110001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100011010000001000000000010000000000100000000000000001100010100000000000000000001011100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000010000000000100000000001000000000000000011000101000000000000000000010111000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000100000000001000000000010000000000000000110001010000000000000000000111110001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000001000000000010000000000100000000000000001100010100000000000000000001111100010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000010000000000100000000001000000000000000011000101000000000000000000011111000100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010001101000000000000000000000000000000000000000100000110001010000000000000000000111110001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100011010000000000000000000000000000000000000001000001100010100000000000000000001000010010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101000110100000000000000000000000000000000000000010000011000101000000000000000000010000100100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010001101000000000000000000000000000000000000000100000110001010000000000000000000100001001001001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000000000000000000000000000000000000001000001100010100000000000000000001000010010010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000000000000000000000000000000000000010000011000101000000000000000000011000100100100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000000000000000000000000000000000000100000110001010000000000000000000110001001001001111000000001000010000101000000111111111010111000100111010110000000101001000000110110100100111011110100111010000000000000000000000000000000000000001000001100010100000000000000000001100010010010011110000000010000100001010000001111111110101110001001110101100000001010010000001101101001001010111101000110100000010000000000000000000000000000000010000011000101000000000000000000011000100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010001101000000100000000000000000000000000000000100000110001010000000000000000000101001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000001000000000000000000000000000000001000001100010100000000000000000001010010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000010000000000000000000000000000000010000011000101000000000000000000010100100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000100000000000000000000000000000000100000110001010000000000000000000101001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000001000000000000000000000000000000001000001100010100000000000000000001110010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000010000000000000000000000000000000010000011000101000000000000000000011100100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000100000000000000000000000000000000100000110001010000000000000000000111001001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100011010000000000000000010000000000000000000001000001100010100000000000000000001110010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101000110100000000000000000100000000000000000000010000011000101000000000000000000010010100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000000000000001000000000000000000000100000110001010000000000000000000100101001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000000000000000010000000000000000000001000001100010100000000000000000001001010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000000000000000100000000000000000000010000011000101000000000000000000010010100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000000000000001000000000000000000000100000110001010000000000000000000110101001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000000000000000010000000000000000000001000001100010100000000000000000001101010010010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001110111101001110100000000000000000100000000000000000000010000011000101000000000000000000011010100100100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010010101111010001101000000100000000001000000000000000000000100000110001010000000000000000000110101001001001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100101011110100011010000001000000000010000000000000000000001000001100010100000000000000000001011010010010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001110111101000110100000010000000000100000000000000000000010000011000101000000000000000000010110100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000100000000001000000000000000000000100000110001010000000000000000000101101001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000001000000000010000000000000000000001000001100010100000000000000000001011010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000010000000000100000000000000000000010000011000101000000000000000000011110100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000100000000001000000000000000000000100000110001010000000000000000000111101001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000001000000000010000000000000000000001000001100010100000000000000000001111010010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101000110100000000000000000000000000001000000000010000011000101000000000000000000011110100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010001101000000000000000000000000000010000000000100000110001010000000000000000000100011001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000000000000000000000000000100000000001000001100010100000000000000000001000110010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000000000000000000000000001000000000010000011000101000000000000000000010001100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000000000000000000000000010000000000100000110001010000000000000000000100011001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000000000000000000000000000100000000001000001100010100000000000000000001100110010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000000000000000000000000001000000000010000011000101000000000000000000011001100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000000000000000000000000010000000000100000110001010000000000000000000110011001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100011010000001000000000000000000000100000000001000001100010100000000000000000001100110010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101000110100000010000000000000000000001000000000010000011000101000000000000000000010101100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000100000000000000000000010000000000100000110001010000000000000000000101011001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000001000000000000000000000100000000001000001100010100000000000000000001010110010010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000010000000000000000000001000000000010000011000101000000000000000000010101100100100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000100000000000000000000010000000000100000110001010000000000000000000111011001001001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000001000000000000000000000100000000001000001100010100000000000000000001110110010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101001110100000010000000000000000000001000000000010000011000101000000000000000000011101100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010001101000000000000000001000000000010000000000100000110001010000000000000000000111011001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100011010000000000000000010000000000100000000001000001100010100000000000000000001001110010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101000110100000000000000000100000000001000000000010000011000101000000000000000000010011100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010001101000000000000000001000000000010000000000100000110001010000000000000000000100111001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100111010000000000000000010000000000100000000001000001100010100000000000000000001001110010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101001110100000000000000000100000000001000000000010000011000101000000000000000000011011100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010011101000000000000000001000000000010000000000100000110001010000000000000000000110111001001001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100111011110100111010000000000000000010000000000100000000001000001100010100000000000000000001101110010010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001010111101000110100000010000000000100000000001000000000010000011000101000000000000000000011011100100100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010010101111010001101000000100000000001000000000010000000000100000110001010000000000000000000101111001001001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100111011110100011010000001000000000010000000000100000000001000001100010100000000000000000001011110010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101000110100000010000000000100000000001000000000010000011000101000000000000000000010111100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010011101000000100000000001000000000010000000000100000110001010000000000000000000101111001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100111010000001000000000010000000000100000000001000001100010100000000000000000001111110010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001110111101001110100000010000000000100000000001000000000010000011000101000000000000000000011111100100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010011101000000100000000001000000000010000000000100000110001010000000000000000000111111001001001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100011010000000000000000000000000000000000000000000011100010100000000000000000001111110010010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101000110100000000000000000000000000000000000000000000111000101000000000000000000010000010100100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010001101000000000000000000000000000000000000000000001110001010000000000000000000100000101001001111000000001000010000101000000111111111010011000110111010110001101101001001000110110100100111011110100011010000000000000000000000000000000000000000000011100010100000000000000000001000001010010011110000000010000100001010000001111111110100110001101110101100011011010010010001101101001001010111101001110100000000000000000000000000000000000000000000111000101000000000000000000010000010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010011101000000000000000000000000000000000000000000001110001010000000000000000000110000101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100111010000000000000000000000000000000000000000000011100010100000000000000000001100001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101001110100000000000000000000000000000000000000000000111000101000000000000000000011000010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010001101000000100000000000000000000000000000000000001110001010000000000000000000110000101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100011010000001000000000000000000000000000000000000011100010100000000000000000001010001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101000110100000010000000000000000000000000000000000000111000101000000000000000000010100010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010001101000000100000000000000000000000000000000000001110001010000000000000000000101000101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100111010000001000000000000000000000000000000000000011100010100000000000000000001010001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101001110100000010000000000000000000000000000000000000111000101000000000000000000011100010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010011101000000100000000000000000000000000000000000001110001010000000000000000000111000101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100111010000001000000000000000000000000000000000000011100010100000000000000000001110001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101000110100000000000000000100000000000000000000000000111000101000000000000000000011100010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010001101000000000000000001000000000000000000000000001110001010000000000000000000100100101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100011010000000000000000010000000000000000000000000011100010100000000000000000001001001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101000110100000000000000000100000000000000000000000000111000101000000000000000000010010010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010011101000000000000000001000000000000000000000000001110001010000000000000000000100100101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100111010000000000000000010000000000000000000000000011100010100000000000000000001101001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101001110100000000000000000100000000000000000000000000111000101000000000000000000011010010100100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010011101111010011101000000000000000001000000000000000000000000001110001010000000000000000000110100101001001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100101011110100011010000001000000000010000000000000000000000000011100010100000000000000000001101001010010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001010111101000110100000010000000000100000000000000000000000000111000101000000000000000000010110010100100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010011101111010001101000000100000000001000000000000000000000000001110001010000000000000000000101100101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100011010000001000000000010000000000000000000000000011100010100000000000000000001011001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101001110100000010000000000100000000000000000000000000111000101000000000000000000010110010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010011101000000100000000001000000000000000000000000001110001010000000000000000000111100101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100111010000001000000000010000000000000000000000000011100010100000000000000000001111001010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101001110100000010000000000100000000000000000000000000111000101000000000000000000011110010100100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010001101000000000000000000000000000010000000000000001110001010000000000000000000111100101001001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100011010000000000000000000000000000100000000000000011100010100000000000000000001000101010010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101000110100000000000000000000000000001000000000000000111000101000000000000000000010001010100100111100000000100001000010100000011111111101000000000000000000000000010100100100011011010010011101111010001101000000000000000000000000000010000000000000001110001010000000000000000000100010101001001111000000001000010000101000000111111111010000000000000000000000000101001001000110110100100101011110100111010000000000000000000000000000100000000000000011100010100000000000000000001000101010010011110000000010000100001010000001111111110100000000000000000000111101010010010001101101001001010111101001110100000000000000000000000000001000000000000000111000101000000000000000000011001010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010011101000000000000000000000000000010000000000000001110001010000000000000000000110010101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100111010000000000000000000000000000100000000000000011100010100000000000000000001100101010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101000110100000010000000000000000000001000000000000000111000101000000000000000000011001010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010001101000000100000000000000000000010000000000000001110001010000000000000000000101010101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100011010000001000000000000000000000100000000000000011100010100000000000000000001010101010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101000110100000010000000000000000000001000000000000000111000101000000000000000000010101010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010011101000000100000000000000000000010000000000000001110001010000000000000000000101010101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100111010000001000000000000000000000100000000000000011100010100000000000000000001110101010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101001110100000010000000000000000000001000000000000000111000101000000000000000000011101010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010011101000000100000000000000000000010000000000000001110001010000000000000000000111010101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100011010000000000000000010000000000100000000000000011100010100000000000000000001110101010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101000110100000000000000000100000000001000000000000000111000101000000000000000000010011010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010001101000000000000000001000000000010000000000000001110001010000000000000000000100110101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100011010000000000000000010000000000100000000000000011100010100000000000000000001001101010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101001110100000000000000000100000000001000000000000000111000101000000000000000000010011010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010011101000000000000000001000000000010000000000000001110001010000000000000000000110110101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100111010000000000000000010000000000100000000000000011100010100000000000000000001101101010010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001110111101001110100000000000000000100000000001000000000000000111000101000000000000000000011011010100100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010010101111010001101000000100000000001000000000010000000000000001110001010000000000000000000110110101001001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100101011110100011010000001000000000010000000000100000000000000011100010100000000000000000001011101010010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001110111101000110100000010000000000100000000001000000000000000111000101000000000000000000010111010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010001101000000100000000001000000000010000000000000001110001010000000000000000000101110101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100111010000001000000000010000000000100000000000000011100010100000000000000000001011101010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101001110100000010000000000100000000001000000000000000111000101000000000000000000011111010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010011101000000100000000001000000000010000000000000001110001010000000000000000000111110101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100111010000001000000000010000000000100000000000000011100010100000000000000000001111101010010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101000110100000000000000000000000000000000000000010000111000101000000000000000000011111010100100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010001101000000000000000000000000000000000000000100001110001010000000000000000000100001101001001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100011010000000000000000000000000000000000000001000011100010100000000000000000001000011010010011110000000010000100001010000001111111110101000000000000000001010101010010010001101101001001110111101000110100000000000000000000000000000000000000010000111000101000000000000000000010000110100100111100000000100001000010100000011111111101010000000000000000010101010100100100011011010010010101111010011101000000000000000000000000000000000000000100001110001010000000000000000000100001101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100111010000000000000000000000000000000000000001000011100010100000000000000000001100011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101001110100000000000000000000000000000000000000010000111000101000000000000000000011000110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010011101000000000000000000000000000000000000000100001110001010000000000000000000110001101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100011010000001000000000000000000000000000000001000011100010100000000000000000001100011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101000110100000010000000000000000000000000000000010000111000101000000000000000000010100110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010001101000000100000000000000000000000000000000100001110001010000000000000000000101001101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100011010000001000000000000000000000000000000001000011100010100000000000000000001010011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101001110100000010000000000000000000000000000000010000111000101000000000000000000010100110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010011101000000100000000000000000000000000000000100001110001010000000000000000000111001101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100111010000001000000000000000000000000000000001000011100010100000000000000000001110011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101001110100000010000000000000000000000000000000010000111000101000000000000000000011100110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010001101000000000000000001000000000000000000000100001110001010000000000000000000111001101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100011010000000000000000010000000000000000000001000011100010100000000000000000001001011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101000110100000000000000000100000000000000000000010000111000101000000000000000000010010110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010001101000000000000000001000000000000000000000100001110001010000000000000000000100101101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100111010000000000000000010000000000000000000001000011100010100000000000000000001001011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101001110100000000000000000100000000000000000000010000111000101000000000000000000011010110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010011101000000000000000001000000000000000000000100001110001010000000000000000000110101101001001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100111011110100111010000000000000000010000000000000000000001000011100010100000000000000000001101011010010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001010111101000110100000010000000000100000000000000000000010000111000101000000000000000000011010110100100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010010101111010001101000000100000000001000000000000000000000100001110001010000000000000000000101101101001001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100111011110100011010000001000000000010000000000000000000001000011100010100000000000000000001011011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101000110100000010000000000100000000000000000000010000111000101000000000000000000010110110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010011101000000100000000001000000000000000000000100001110001010000000000000000000101101101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100111010000001000000000010000000000000000000001000011100010100000000000000000001111011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101001110100000010000000000100000000000000000000010000111000101000000000000000000011110110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010011101000000100000000001000000000000000000000100001110001010000000000000000000111101101001001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100011010000000000000000000000000000100000000001000011100010100000000000000000001111011010010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101000110100000000000000000000000000001000000000010000111000101000000000000000000010001110100100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010001101000000000000000000000000000010000000000100001110001010000000000000000000100011101001001111000000001000010000101000000111111111010100000011010101111000000101001001000110110100100111011110100011010000000000000000000000000000100000000001000011100010100000000000000000001000111010010011110000000010000100001010000001111111110101000000110101011110000001010010010001101101001001010111101001110100000000000000000000000000001000000000010000111000101000000000000000000010001110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010101111010011101000000000000000000000000000010000000000100001110001010000000000000000000110011101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100111011110100111010000000000000000000000000000100000000001000011100010100000000000000000001100111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001110111101001110100000000000000000000000000001000000000010000111000101000000000000000000011001110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010101111010001101000000100000000000000000000010000000000100001110001010000000000000000000110011101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100101011110100011010000001000000000000000000000100000000001000011100010100000000000000000001010111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001110111101000110100000010000000000000000000001000000000010000111000101000000000000000000010101110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011101111010001101000000100000000000000000000010000000000100001110001010000000000000000000101011101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100101011110100111010000001000000000000000000000100000000001000011100010100000000000000000001010111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001010111101001110100000010000000000000000000001000000000010000111000101000000000000000000011101110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011101111010011101000000100000000000000000000010000000000100001110001010000000000000000000111011101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100111011110100111010000001000000000000000000000100000000001000011100010100000000000000000001110111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101000110100000000000000000100000000001000000000010000111000101000000000000000000011101110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010001101000000000000000001000000000010000000000100001110001010000000000000000000100111101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101111011110100011010000000000000000010000000000100000000001000011100010100000000000000000001001111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011110111101000110100000000000000000100000000001000000000010000111000101000000000000000000010011110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010011101000000000000000001000000000010000000000100001110001010000000000000000000100111101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100111010000000000000000010000000000100000000001000011100010100000000000000000001101111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011110111101001110100000000000000000100000000001000000000010000111000101000000000000000000011011110100100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010111101111010011101000000000000000001000000000010000000000100001110001010000000000000000000110111101001001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101101011110100011010000001000000000010000000000100000000001000011100010100000000000000000001101111010010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011010111101000110100000010000000000100000000001000000000010000111000101000000000000000000010111110100100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010111101111010001101000000100000000001000000000010000000000100001110001010000000000000000000101111101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101111011110100011010000001000000000010000000000100000000001000011100010100000000000000000001011111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101001110100000010000000000100000000001000000000010000111000101000000000000000000010111110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010011101000000100000000001000000000010000000000100001110001010000000000000000000111111101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101111011110100111010000001000000000010000000000100000000001000011100010100000000000000000001111111010010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011110111101001110100000010000000000100000000001000000000010000111000101000000000000000000011111110100100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010001101000000000000000000000000000000000000000000000001001010000000000000000000111111101001001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100011010000000000000000000000000000000000000000000000010010100000000000000000001000000110010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011110111101000110100000000000000000000000000000000000000000000000100101000000000000000000010000001100100111100000000100001000010100000011111111101011100011011101011111111110100101100011011010010111101111010001101000000000000000000000000000000000000000000000001001010000000000000000000100000011001001111000000001000010000101000000111111111010111000110111010111111111101001011000110110100101101011110100111010000000000000000000000000000000000000000000000010010100000000000000000001000000110010011110000000010000100001010000001111111110101110001101110101000000001010010110001101101001011010111101001110100000000000000000000000000000000000000000000000100101000000000000000000011000001100100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111101111010011101000000000000000000000000000000000000000000000001001010000000000000000000110000011001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101111011110100111010000000000000000000000000000000000000000000000010010100000000000000000001100000110010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011010111101000110100000010000000000000000000000000000000000000000100101000000000000000000011000001100100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110101111010001101000000100000000000000000000000000000000000000001001010000000000000000000101000011001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101111011110100011010000001000000000000000000000000000000000000000010010100000000000000000001010000110010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011110111101000110100000010000000000000000000000000000000000000000100101000000000000000000010100001100100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110101111010011101000000100000000000000000000000000000000000000001001010000000000000000000101000011001001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101101011110100111010000001000000000000000000000000000000000000000010010100000000000000000001110000110010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011110111101001110100000010000000000000000000000000000000000000000100101000000000000000000011100001100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010011101000000100000000000000000000000000000000000000001001010000000000000000000111000011001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100011010000000000000000010000000000000000000000000000010010100000000000000000001110000110010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101000110100000000000000000100000000000000000000000000000100101000000000000000000010010001100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010001101000000000000000001000000000000000000000000000001001010000000000000000000100100011001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100011010000000000000000010000000000000000000000000000010010100000000000000000001001000110010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101001110100000000000000000100000000000000000000000000000100101000000000000000000010010001100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010011101000000000000000001000000000000000000000000000001001010000000000000000000110100011001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100111010000000000000000010000000000000000000000000000010010100000000000000000001101000110010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011110111101001110100000000000000000100000000000000000000000000000100101000000000000000000011010001100100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010110101111010001101000000100000000001000000000000000000000000000001001010000000000000000000110100011001001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101101011110100011010000001000000000010000000000000000000000000000010010100000000000000000001011000110010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011110111101000110100000010000000000100000000000000000000000000000100101000000000000000000010110001100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010001101000000100000000001000000000000000000000000000001001010000000000000000000101100011001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100111010000001000000000010000000000000000000000000000010010100000000000000000001011000110010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101001110100000010000000000100000000000000000000000000000100101000000000000000000011110001100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010111101111010011101000000100000000001000000000000000000000000000001001010000000000000000000111100011001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100111010000001000000000010000000000000000000000000000010010100000000000000000001111000110010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101000110100000000000000000000000000001000000000000000000100101000000000000000000011110001100100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010001101000000000000000000000000000010000000000000000001001010000000000000000000100010011001001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100011010000000000000000000000000000100000000000000000010010100000000000000000001000100110010011110000000010000100001010000001111111110100110001011110101101100011010010110110001101001011110111101000110100000000000000000000000000001000000000000000000100101000000000000000000010001001100100111100000000100001000010100000011111111101001100010111101011011000110100101101100011010010110101111010011101000000000000000000000000000010000000000000000001001010000000000000000000100010011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100111010000000000000000000000000000100000000000000000010010100000000000000000001100100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101001110100000000000000000000000000001000000000000000000100101000000000000000000011001001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010011101000000000000000000000000000010000000000000000001001010000000000000000000110010011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100011010000001000000000000000000000100000000000000000010010100000000000000000001100100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101000110100000010000000000000000000001000000000000000000100101000000000000000000010101001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010001101000000100000000000000000000010000000000000000001001010000000000000000000101010011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100011010000001000000000000000000000100000000000000000010010100000000000000000001010100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101001110100000010000000000000000000001000000000000000000100101000000000000000000010101001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010011101000000100000000000000000000010000000000000000001001010000000000000000000111010011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100111010000001000000000000000000000100000000000000000010010100000000000000000001110100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101001110100000010000000000000000000001000000000000000000100101000000000000000000011101001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010001101000000000000000001000000000010000000000000000001001010000000000000000000111010011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100011010000000000000000010000000000100000000000000000010010100000000000000000001001100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101000110100000000000000000100000000001000000000000000000100101000000000000000000010011001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010001101000000000000000001000000000010000000000000000001001010000000000000000000100110011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100111010000000000000000010000000000100000000000000000010010100000000000000000001001100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101001110100000000000000000100000000001000000000000000000100101000000000000000000011011001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010011101000000000000000001000000000010000000000000000001001010000000000000000000110110011001001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101111011110100111010000000000000000010000000000100000000000000000010010100000000000000000001101100110010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011010111101000110100000010000000000100000000001000000000000000000100101000000000000000000011011001100100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010110101111010001101000000100000000001000000000010000000000000000001001010000000000000000000101110011001001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101111011110100011010000001000000000010000000000100000000000000000010010100000000000000000001011100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101000110100000010000000000100000000001000000000000000000100101000000000000000000010111001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010011101000000100000000001000000000010000000000000000001001010000000000000000000101110011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100111010000001000000000010000000000100000000000000000010010100000000000000000001111100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101001110100000010000000000100000000001000000000000000000100101000000000000000000011111001100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010011101000000100000000001000000000010000000000000000001001010000000000000000000111110011001001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100011010000000000000000000000000000000000000001000000010010100000000000000000001111100110010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101000110100000000000000000000000000000000000000010000000100101000000000000000000010000101100100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010001101000000000000000000000000000000000000000100000001001010000000000000000000100001011001001111000000001000010000101000000111111111010111000101111010111100000101001011011000110100101111011110100011010000000000000000000000000000000000000001000000010010100000000000000000001000010110010011110000000010000100001010000001111111110101110001011110101111000001010010110110001101001011010111101001110100000000000000000000000000000000000000010000000100101000000000000000000010000101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000000000000000000000000000000000000100000001001010000000000000000000110001011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000000000000000000000000000000000000001000000010010100000000000000000001100010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000000000000000000000000000000000000010000000100101000000000000000000011000101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010001101000000100000000000000000000000000000000100000001001010000000000000000000110001011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000001000000000000000000000000000000001000000010010100000000000000000001010010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000010000000000000000000000000000000010000000100101000000000000000000010100101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000100000000000000000000000000000000100000001001010000000000000000000101001011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000001000000000000000000000000000000001000000010010100000000000000000001010010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000010000000000000000000000000000000010000000100101000000000000000000011100101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000100000000000000000000000000000000100000001001010000000000000000000111001011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000001000000000000000000000000000000001000000010010100000000000000000001110010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000000000000000100000000000000000000010000000100101000000000000000000011100101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010001101000000000000000001000000000000000000000100000001001010000000000000000000100101011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100011010000000000000000010000000000000000000001000000010010100000000000000000001001010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000000000000000100000000000000000000010000000100101000000000000000000010010101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000000000000001000000000000000000000100000001001010000000000000000000100101011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000000000000000010000000000000000000001000000010010100000000000000000001101010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000000000000000100000000000000000000010000000100101000000000000000000011010101100100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010111101111010011101000000000000000001000000000000000000000100000001001010000000000000000000110101011001001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101101011110100011010000001000000000010000000000000000000001000000010010100000000000000000001101010110010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011010111101000110100000010000000000100000000000000000000010000000100101000000000000000000010110101100100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010111101111010001101000000100000000001000000000000000000000100000001001010000000000000000000101101011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100011010000001000000000010000000000000000000001000000010010100000000000000000001011010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000010000000000100000000000000000000010000000100101000000000000000000010110101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000100000000001000000000000000000000100000001001010000000000000000000111101011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000001000000000010000000000000000000001000000010010100000000000000000001111010110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000010000000000100000000000000000000010000000100101000000000000000000011110101100100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010001101000000000000000000000000000010000000000100000001001010000000000000000000111101011001001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000000000000000000000000000100000000001000000010010100000000000000000001000110110010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000000000000000000000000001000000000010000000100101000000000000000000010001101100100111100000000100001000010100000011111111101010001001100000000000010011111111101100011010010111101111010001101000000000000000000000000000010000000000100000001001010000000000000000000100011011001001111000000001000010000101000000111111111110100010011000000000000100111111111011000110100101101011110100111010000000000000000000000000000100000000001000000010010100000000000000000001000110110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101001110100000000000000000000000000001000000000010000000100101000000000000000000011001101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000000000000000000000000010000000000100000001001010000000000000000000110011011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000000000000000000000000000100000000001000000010010100000000000000000001100110110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101000110100000010000000000000000000001000000000010000000100101000000000000000000011001101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000100000000000000000000010000000000100000001001010000000000000000000101011011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100011010000001000000000000000000000100000000001000000010010100000000000000000001010110110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101000110100000010000000000000000000001000000000010000000100101000000000000000000010101101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010011101000000100000000000000000000010000000000100000001001010000000000000000000101011011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100111010000001000000000000000000000100000000001000000010010100000000000000000001110110110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000010000000000000000000001000000000010000000100101000000000000000000011101101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000100000000000000000000010000000000100000001001010000000000000000000111011011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000000000000000010000000000100000000001000000010010100000000000000000001110110110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101000110100000000000000000100000000001000000000010000000100101000000000000000000010011101100100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010111101111010001101000000000000000001000000000010000000000100000001001010000000000000000000100111011001001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101111011110100011010000000000000000010000000000100000000001000000010010100000000000000000001001110110010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011010111101001110100000000000000000100000000001000000000010000000100101000000000000000000010011101100100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010110101111010011101000000000000000001000000000010000000000100000001001010000000000000000000110111011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000000000000000010000000000100000000001000000010010100000000000000000001101110110010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011110111101001110100000000000000000100000000001000000000010000000100101000000000000000000011011101100100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010110101111010001101000000100000000001000000000010000000000100000001001010000000000000000000110111011001001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101101011110100011010000001000000000010000000000100000000001000000010010100000000000000000001011110110010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011110111101000110100000010000000000100000000001000000000010000000100101000000000000000000010111101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010001101000000100000000001000000000010000000000100000001001010000000000000000000101111011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100111010000001000000000010000000000100000000001000000010010100000000000000000001011110110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101001110100000010000000000100000000001000000000010000000100101000000000000000000011111101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000100000000001000000000010000000000100000001001010000000000000000000111111011001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000001000000000010000000000100000000001000000010010100000000000000000001111110110010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101000110100000000000000000000000000000000000000000000100100101000000000000000000011111101100100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000000000000000000000000000000000000000001001001010000000000000000000100000111001001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100011010000000000000000000000000000000000000000000010010010100000000000000000001000001110010011110000000010000100001010000001111111111100110001111110101111111111010010110110001101001011110111101000110100000000000000000000000000000000000000000000100100101000000000000000000010000011100100111100000000100001000010100000011111111101001100011111101011111111110100101101100011010010110101111010011101000000000000000000000000000000000000000000001001001010000000000000000000100000111001001111000000001000010000101000000111111111010011000111111010111111111101001011011000110100101101011110100111010000000000000000000000000000000000000000000010010010100000000000000000001100001110010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011110111101001110100000000000000000000000000000000000000000000100100101000000000000000000011000011100100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111101111010011101000000000000000000000000000000000000000000001001001010000000000000000000110000111001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101101011110100011010000001000000000000000000000000000000000000010010010100000000000000000001100001110010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011010111101000110100000010000000000000000000000000000000000000100100101000000000000000000010100011100100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111101111010001101000000100000000000000000000000000000000000001001001010000000000000000000101000111001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101111011110100011010000001000000000000000000000000000000000000010010010100000000000000000001010001110010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011010111101001110100000010000000000000000000000000000000000000100100101000000000000000000010100011100100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110101111010011101000000100000000000000000000000000000000000001001001010000000000000000000111000111001001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101111011110100111010000001000000000000000000000000000000000000010010010100000000000000000001110001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000010000000000000000000000000000000000000100100101000000000000000000011100011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010001101000000000000000001000000000000000000000000001001001010000000000000000000111000111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000000000000000010000000000000000000000000010010010100000000000000000001001001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000000000000000100000000000000000000000000100100101000000000000000000010010011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000000000000001000000000000000000000000001001001010000000000000000000100100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000000000000000010000000000000000000000000010010010100000000000000000001001001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000000000000000100000000000000000000000000100100101000000000000000000011010011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000000000000001000000000000000000000000001001001010000000000000000000110100111001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101111011110100111010000000000000000010000000000000000000000000010010010100000000000000000001101001110010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011010111101000110100000010000000000100000000000000000000000000100100101000000000000000000011010011100100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010110101111010001101000000100000000001000000000000000000000000001001001010000000000000000000101100111001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101111011110100011010000001000000000010000000000000000000000000010010010100000000000000000001011001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000010000000000100000000000000000000000000100100101000000000000000000010110011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000100000000001000000000000000000000000001001001010000000000000000000101100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000001000000000010000000000000000000000000010010010100000000000000000001111001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000010000000000100000000000000000000000000100100101000000000000000000011110011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000100000000001000000000000000000000000001001001010000000000000000000111100111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000000000000000000000000000100000000000000010010010100000000000000000001111001110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000000000000000000000000001000000000000000100100101000000000000000000010001011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000000000000000000000000010000000000000001001001010000000000000000000100010111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000000000000000000000000000100000000000000010010010100000000000000000001000101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000000000000000000000000001000000000000000100100101000000000000000000010001011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000000000000000000000000010000000000000001001001010000000000000000000110010111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000000000000000000000000000100000000000000010010010100000000000000000001100101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000000000000000000000000001000000000000000100100101000000000000000000011001011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010001101000000100000000000000000000010000000000000001001001010000000000000000000110010111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000001000000000000000000000100000000000000010010010100000000000000000001010101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000010000000000000000000001000000000000000100100101000000000000000000010101011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000100000000000000000000010000000000000001001001010000000000000000000101010111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000001000000000000000000000100000000000000010010010100000000000000000001010101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000010000000000000000000001000000000000000100100101000000000000000000011101011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000100000000000000000000010000000000000001001001010000000000000000000111010111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000001000000000000000000000100000000000000010010010100000000000000000001110101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101000110100000000000000000100000000001000000000000000100100101000000000000000000011101011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010001101000000000000000001000000000010000000000000001001001010000000000000000000100110111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100111011110100011010000000000000000010000000000100000000000000010010010100000000000000000001001101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001110111101000110100000000000000000100000000001000000000000000100100101000000000000000000010011011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010011101000000000000000001000000000010000000000000001001001010000000000000000000100110111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100111010000000000000000010000000000100000000000000010010010100000000000000000001101101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001110111101001110100000000000000000100000000001000000000000000100100101000000000000000000011011011100100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010011101111010011101000000000000000001000000000010000000000000001001001010000000000000000000110110111001001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100100101011110100011010000001000000000010000000000100000000000000010010010100000000000000000001101101110010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001001010111101000110100000010000000000100000000001000000000000000100100101000000000000000000010111011100100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010011101111010001101000000100000000001000000000010000000000000001001001010000000000000000000101110111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100111011110100011010000001000000000010000000000100000000000000010010010100000000000000000001011101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001010111101001110100000010000000000100000000001000000000000000100100101000000000000000000010111011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010011101000000100000000001000000000010000000000000001001001010000000000000000000111110111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100111011110100111010000001000000000010000000000100000000000000010010010100000000000000000001111101110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001110111101001110100000010000000000100000000001000000000000000100100101000000000000000000011111011100100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010010101111010001101000000000000000000000000000000000000000100001001001010000000000000000000111110111001001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100100101011110100011010000000000000000000000000000000000000001000010010010100000000000000000001000011110010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001001110111101000110100000000000000000000000000000000000000010000100100101000000000000000000010000111100100111100000000100001000010100000011111111101011100011111101010101001110100100010100111010010011101111010001101000000000000000000000000000000000000000100001001001010000000000000000000100001111001001111000000001000010000101000000111111111010111000111111010101010011101001000101001110100100101011110100111010000000000000000000000000000000000000001000010010010100000000000000000001000011110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001010111101001110100000000000000000000000000000000000000010000100100101000000000000000000011000111100100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010011101111010011101000000000000000000000000000000000000000100001001001010000000000000000000110001111001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100111011110100111010000000000000000000000000000000000000001000010010010100000000000000000001100011110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001010111101000110100000010000000000000000000000000000000010000100100101000000000000000000011000111100100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010101111010001101000000100000000000000000000000000000000100001001001010000000000000000000101001111001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100111011110100011010000001000000000000000000000000000000001000010010010100000000000000000001010011110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001110111101000110100000010000000000000000000000000000000010000100100101000000000000000000010100111100100111100000000100001000010100000011111111101011100011111101010000101110100100010100111010010010101111010011101000000100000000000000000000000000000000100001001001010000000000000000000101001111001001111000000001000010000101000000111111111010111000111111010100001011101001000101001110100100101011110100111010000001000000000000000000000000000000001000010010010100000000000000000001110011110010011110000000010000100001010000001111111110101110001111110101000010111010010001010011101001001110111101001110100000010000000000000000000000000000000010000100100101000000000000000000011100111100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010011101000000100000000000000000000000000000000100001001001010000000000000000000111001111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100011010000000000000000010000000000000000000001000010010010100000000000000000001110011110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101000110100000000000000000100000000000000000000010000100100101000000000000000000010010111100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010001101000000000000000001000000000000000000000100001001001010000000000000000000100101111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100011010000000000000000010000000000000000000001000010010010100000000000000000001001011110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101001110100000000000000000100000000000000000000010000100100101000000000000000000010010111100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010011101000000000000000001000000000000000000000100001001001010000000000000000000110101111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100111010000000000000000010000000000000000000001000010010010100000000000000000001101011110010011110000000010000100001000000001111111110101110001111110101000010111010010000001011101001001110111101001110100000000000000000100000000000000000000010000100100101000000000000000000011010111100100111100000000100001000010000000011111111101011100011111101010000101110100100000010111010010010101111010001101000000100000000001000000000000000000000100001001001010000000000000000000110101111001001111000000001000010000100000000111111111010111000111111010100001011101001000000101110100100101011110100011010000001000000000010000000000000000000001000010010010100000000000000000001011011110010011110000000010000100001000000001111111110101110001111110101000010111010010000001011101001001110111101000110100000010000000000100000000000000000000010000100100101000000000000000000010110111100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010001101000000100000000001000000000000000000000100001001001010000000000000000000101101111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100101011110100111010000001000000000010000000000000000000001000010010010100000000000000000001011011110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101001110100000010000000000100000000000000000000010000100100101000000000000000000011110111100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010011101111010011101000000100000000001000000000000000000000100001001001010000000000000000000111101111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100111010000001000000000010000000000000000000001000010010010100000000000000000001111011110010011110000000010000100001010000001111111110101110001111110101000010111010010000001011101001001010111101000110100000000000000000000000000001000000000010000100100101000000000000000000011110111100100111100000000100001000010100000011111111101011100011111101010000101110100100000010111010010010101111010001101000000000000000000000000000010000000000100001001001010000000000000000000100011111001001111000000001000010000101000000111111111010111000111111010100001011101001000000101110100100111011110100011010000000000000000000000000000100000000001000010010010100000000000000000001000111110010011110000000010000100001010000001111111110100110001000001101000010111010010000001011101001001110111101000110100000000000000000000000000001000000000010000100100101000000000000000000010001111100100111100000000100001000010100000011111111101001100010000011010000101110100100000010111010010010101111010011101000000000000000000000000000010000000000100001001001010000000000000000000100011111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000000000000000000000000000100000000001000010010010100000000000000000001100111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000000000000000000000000001000000000010000100100101000000000000000000011001111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000000000000000000000000010000000000100001001001010000000000000000000110011111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100011010000001000000000000000000000100000000001000010010010100000000000000000001100111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101000110100000010000000000000000000001000000000010000100100101000000000000000000010101111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010001101000000100000000000000000000010000000000100001001001010000000000000000000101011111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100011010000001000000000000000000000100000000001000010010010100000000000000000001010111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000010000000000000000000001000000000010000100100101000000000000000000010101111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000100000000000000000000010000000000100001001001010000000000000000000111011111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000001000000000000000000000100000000001000010010010100000000000000000001110111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000010000000000000000000001000000000010000100100101000000000000000000011101111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010001101000000000000000001000000000010000000000100001001001010000000000000000000111011111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100011010000000000000000010000000000100000000001000010010010100000000000000000001001111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101000110100000000000000000100000000001000000000010000100100101000000000000000000010011111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010001101000000000000000001000000000010000000000100001001001010000000000000000000100111111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000000000000000010000000000100000000001000010010010100000000000000000001001111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000000000000000100000000001000000000010000100100101000000000000000000011011111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000000000000001000000000010000000000100001001001010000000000000000000110111111001001111000000001000010000100000000111111111010011000100000110110101111101001000000101110100100111011110100111010000000000000000010000000000100000000001000010010010100000000000000000001101111110010011110000000010000100001000000001111111110100110001000001101101011111010010000001011101001001010111101000110100000010000000000100000000001000000000010000100100101000000000000000000011011111100100111100000000100001000010000000011111111101001100010000011011010111110100100000010111010010010101111010001101000000100000000001000000000010000000000100001001001010000000000000000000101111111001001111000000001000010000100000000111111111010011000100000110110101111101001000000101110100100111011110100011010000001000000000010000000000100000000001000010010010100000000000000000001011111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101000110100000010000000000100000000001000000000010000100100101000000000000000000010111111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000100000000001000000000010000000000100001001001010000000000000000000101111111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100111010000001000000000010000000000100000000001000010010010100000000000000000001111111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001110111101001110100000010000000000100000000001000000000010000100100101000000000000000000011111111100100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010011101000000100000000001000000000010000000000100001001001010000000000000000000111111111001001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100101011110100011010000000000000000000000000000000000000000000001010010100000000000000000001111111110010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101000110100000000000000000000000000000000000000000000010100101000000000000000000010000000010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010011101111010001101000000000000000000000000000000000000000000000101001010000000000000000000100000000101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100011010000000000000000000000000000000000000000000001010010100000000000000000001000000001010011110000000010000100001010000001111111110100110001000001101101011111010010000001011101001001010111101001110100000000000000000000000000000000000000000000010100101000000000000000000010000000010100111100000000100001000010100000011111111101001100010000011011010111110100100000010111010010010101111010011101000000000000000000000000000000000000000000000101001010000000000000000000110000000101001111000000001000010000101000000111111111010011000100000110110101111101001000000101110100100111011110100111010000000000000000000000000000000000000000000001010010100000000000000000001100000001010011110000000010000100001010000001111111110100110001110110101101011111010010000001011101001001110111101001110100000000000000000000000000000000000000000000010100101000000000000000000011000000010100111100000000100001000010100000011111111101001100011101101011010111110100100000010111010010010101111010001101000000100000000000000000000000000000000000000101001010000000000000000000110000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100011010000001000000000000000000000000000000000000001010010100000000000000000001010000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000010000000000000000000000000000000000000010100101000000000000000000010100000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000100000000000000000000000000000000000000101001010000000000000000000101000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000001000000000000000000000000000000000000001010010100000000000000000001010000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000010000000000000000000000000000000000000010100101000000000000000000011100000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000100000000000000000000000000000000000000101001010000000000000000000111000000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000001000000000000000000000000000000000000001010010100000000000000000001110000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101000110100000000000000000100000000000000000000000000010100101000000000000000000011100000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010001101000000000000000001000000000000000000000000000101001010000000000000000000100100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000000000000000010000000000000000000000000001010010100000000000000000001001000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000000000000000100000000000000000000000000010100101000000000000000000010010000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000000000000001000000000000000000000000000101001010000000000000000000100100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000000000000000010000000000000000000000000001010010100000000000000000001101000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000000000000000100000000000000000000000000010100101000000000000000000011010000010100111100000000100001000010000000011111111101001100011101101010000110110100100000010111010010011101111010011101000000000000000001000000000000000000000000000101001010000000000000000000110100000101001111000000001000010000100000000111111111010011000111011010100001101101001000000101110100100101011110100011010000001000000000010000000000000000000000000001010010100000000000000000001101000001010011110000000010000100001000000001111111110100110001110110101000011011010010000001011101001001010111101000110100000010000000000100000000000000000000000000010100101000000000000000000010110000010100111100000000100001000010000000011111111101001100011101101010000110110100100000010111010010011101111010001101000000100000000001000000000000000000000000000101001010000000000000000000101100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000001000000000010000000000000000000000000001010010100000000000000000001011000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000010000000000100000000000000000000000000010100101000000000000000000010110000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000100000000001000000000000000000000000000101001010000000000000000000111100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000001000000000010000000000000000000000000001010010100000000000000000001111000001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000010000000000100000000000000000000000000010100101000000000000000000011110000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010001101000000000000000000000000000010000000000000000101001010000000000000000000111100000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100011010000000000000000000000000000100000000000000001010010100000000000000000001000100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000000000000000000000000001000000000000000010100101000000000000000000010001000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010001101000000000000000000000000000010000000000000000101001010000000000000000000100010000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000000000000000000000000000100000000000000001010010100000000000000000001000100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101001110100000000000000000000000000001000000000000000010100101000000000000000000011001000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010011101111010011101000000000000000000000000000010000000000000000101001010000000000000000000110010000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100111010000000000000000000000000000100000000000000001010010100000000000000000001100100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001010111101000110100000010000000000000000000001000000000000000010100101000000000000000000011001000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010001101000000100000000000000000000010000000000000000101001010000000000000000000101010000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100111011110100011010000001000000000000000000000100000000000000001010010100000000000000000001010100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101000110100000010000000000000000000001000000000000000010100101000000000000000000010101000010100111100000000100001000010100000011111111101001100011101101010000110110100100000010111010010010101111010011101000000100000000000000000000010000000000000000101001010000000000000000000101010000101001111000000001000010000101000000111111111010011000111011010100001101101001000000101110100100101011110100111010000001000000000000000000000100000000000000001010010100000000000000000001110100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001011101001001110111101001110100000010000000000000000000001000000000000000010100101000000000000000000011101000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010011101000000100000000000000000000010000000000000000101001010000000000000000000111010000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100011010000000000000000010000000000100000000000000001010010100000000000000000001110100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101000110100000000000000000100000000001000000000000000010100101000000000000000000010011000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010001101000000000000000001000000000010000000000000000101001010000000000000000000100110000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100011010000000000000000010000000000100000000000000001010010100000000000000000001001100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101001110100000000000000000100000000001000000000000000010100101000000000000000000010011000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010011101000000000000000001000000000010000000000000000101001010000000000000000000110110000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100111010000000000000000010000000000100000000000000001010010100000000000000000001101100001010011110000000010000100001000000001111111110100110001110110101000011011010010000001101101001001110111101001110100000000000000000100000000001000000000000000010100101000000000000000000011011000010100111100000000100001000010000000011111111101001100011101101010000110110100100000011011010010010101111010001101000000100000000001000000000010000000000000000101001010000000000000000000110110000101001111000000001000010000100000000111111111010011000111011010100001101101001000000110110100100101011110100011010000001000000000010000000000100000000000000001010010100000000000000000001011100001010011110000000010000100001000000001111111110100110001110110101000011011010010000001101101001001110111101000110100000010000000000100000000001000000000000000010100101000000000000000000010111000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010001101000000100000000001000000000010000000000000000101001010000000000000000000101110000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100101011110100111010000001000000000010000000000100000000000000001010010100000000000000000001011100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101001110100000010000000000100000000001000000000000000010100101000000000000000000011111000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010011101111010011101000000100000000001000000000010000000000000000101001010000000000000000000111110000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100111010000001000000000010000000000100000000000000001010010100000000000000000001111100001010011110000000010000100001010000001111111110100110001110110101000011011010010000001101101001001010111101000110100000000000000000000000000000000000000010000010100101000000000000000000011111000010100111100000000100001000010100000011111111101001100011101101010000110110100100000011011010010010101111010001101000000000000000000000000000000000000000100000101001010000000000000000000100001000101001111000000001000010000101000000111111111010011000111011010100001101101001000000110110100100111011110100011010000000000000000000000000000000000000001000001010010100000000000000000001000010001010011110000000010000100001010000001111111110101110001110110101000011011010010000001101101001001110111101000110100000000000000000000000000000000000000010000010100101000000000000000000010000100010100111100000000100001000010100000011111111101011100011101101010000110110100100000011011010010010101111010011101000000000000000000000000000000000000000100000101001010000000000000000000100001000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000000000000000000000000000000000000001000001010010100000000000000000001100010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000000000000000000000000000000000000010000010100101000000000000000000011000100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000000000000000000000000000000000000100000101001010000000000000000000110001000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100011010000001000000000000000000000000000000001000001010010100000000000000000001100010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101000110100000010000000000000000000000000000000010000010100101000000000000000000010100100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010001101000000100000000000000000000000000000000100000101001010000000000000000000101001000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100011010000001000000000000000000000000000000001000001010010100000000000000000001010010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000010000000000000000000000000000000010000010100101000000000000000000010100100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000100000000000000000000000000000000100000101001010000000000000000000111001000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000001000000000000000000000000000000001000001010010100000000000000000001110010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000010000000000000000000000000000000010000010100101000000000000000000011100100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010001101000000000000000001000000000000000000000100000101001010000000000000000000111001000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100011010000000000000000010000000000000000000001000001010010100000000000000000001001010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101000110100000000000000000100000000000000000000010000010100101000000000000000000010010100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010001101000000000000000001000000000000000000000100000101001010000000000000000000100101000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000000000000000010000000000000000000001000001010010100000000000000000001001010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000000000000000100000000000000000000010000010100101000000000000000000011010100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000000000000001000000000000000000000100000101001010000000000000000000110101000101001111000000001000010000100000000111111111010111000111011010110000000101001000000110110100100111011110100111010000000000000000010000000000000000000001000001010010100000000000000000001101010001010011110000000010000100001000000001111111110101110001110110101100000001010010000001101101001001010111101000110100000010000000000100000000000000000000010000010100101000000000000000000011010100010100111100000000100001000010000000011111111101011100011101101011000000010100100000011011010010010101111010001101000000100000000001000000000000000000000100000101001010000000000000000000101101000101001111000000001000010000100000000111111111010111000111011010110000000101001000000110110100100111011110100011010000001000000000010000000000000000000001000001010010100000000000000000001011010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101000110100000010000000000100000000000000000000010000010100101000000000000000000010110100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000100000000001000000000000000000000100000101001010000000000000000000101101000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100111010000001000000000010000000000000000000001000001010010100000000000000000001111010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001110111101001110100000010000000000100000000000000000000010000010100101000000000000000000011110100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010011101000000100000000001000000000000000000000100000101001010000000000000000000111101000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100101011110100011010000000000000000000000000000100000000001000001010010100000000000000000001111010001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101000110100000000000000000000000000001000000000010000010100101000000000000000000010001100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010011101111010001101000000000000000000000000000010000000000100000101001010000000000000000000100011000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100011010000000000000000000000000000100000000001000001010010100000000000000000001000110001010011110000000010000100001010000001111111110101110001110110101100000001010010000001101101001001010111101001110100000000000000000000000000001000000000010000010100101000000000000000000010001100010100111100000000100001000010100000011111111101011100011101101011000000010100100000011011010010010101111010011101000000000000000000000000000010000000000100000101001010000000000000000000110011000101001111000000001000010000101000000111111111010111000111011010110000000101001000000110110100100111011110100111010000000000000000000000000000100000000001000001010010100000000000000000001100110001010011110000000010000100001010000001111111110101110001001110101100000001010010000001101101001001110111101001110100000000000000000000000000001000000000010000010100101000000000000000000011001100010100111100000000100001000010100000011111111101011100010011101011000000010100100000011011010010010101111010001101000000100000000000000000000010000000000100000101001010000000000000000000110011000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100011010000001000000000000000000000100000000001000001010010100000000000000000001010110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000010000000000000000000001000000000010000010100101000000000000000000010101100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000100000000000000000000010000000000100000101001010000000000000000000101011000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000001000000000000000000000100000000001000001010010100000000000000000001010110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000010000000000000000000001000000000010000010100101000000000000000000011101100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000100000000000000000000010000000000100000101001010000000000000000000111011000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000001000000000000000000000100000000001000001010010100000000000000000001110110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101000110100000000000000000100000000001000000000010000010100101000000000000000000011101100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010001101000000000000000001000000000010000000000100000101001010000000000000000000100111000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000000000000000010000000000100000000001000001010010100000000000000000001001110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000000000000000100000000001000000000010000010100101000000000000000000010011100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000000000000001000000000010000000000100000101001010000000000000000000100111000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000000000000000010000000000100000000001000001010010100000000000000000001101110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000000000000000100000000001000000000010000010100101000000000000000000011011100010100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010011101111010011101000000000000000001000000000010000000000100000101001010000000000000000000110111000101001111000000001000010000100000000111111111010111000100111010110001101101001000000110110100100101011110100011010000001000000000010000000000100000000001000001010010100000000000000000001101110001010011110000000010000100001000000001111111110101110001001110101100011011010010000001101101001001010111101000110100000010000000000100000000001000000000010000010100101000000000000000000010111100010100111100000000100001000010000000011111111101011100010011101011000110110100100000011011010010011101111010001101000000100000000001000000000010000000000100000101001010000000000000000000101111000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000001000000000010000000000100000000001000001010010100000000000000000001011110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000010000000000100000000001000000000010000010100101000000000000000000010111100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000100000000001000000000010000000000100000101001010000000000000000000111111000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000001000000000010000000000100000000001000001010010100000000000000000001111110001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000010000000000100000000001000000000010000010100101000000000000000000011111100010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010001101000000000000000000000000000000000000000000001101001010000000000000000000111111000101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100011010000000000000000000000000000000000000000000011010010100000000000000000001000001001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000000000000000000000000000000000000000000110100101000000000000000000010000010010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010001101000000000000000000000000000000000000000000001101001010000000000000000000100000100101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000000000000000000000000000000000000000000011010010100000000000000000001000001001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101001110100000000000000000000000000000000000000000000110100101000000000000000000011000010010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010011101111010011101000000000000000000000000000000000000000000001101001010000000000000000000110000100101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100111010000000000000000000000000000000000000000000011010010100000000000000000001100001001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001010111101000110100000010000000000000000000000000000000000000110100101000000000000000000011000010010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010001101000000100000000000000000000000000000000000001101001010000000000000000000101000100101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100111011110100011010000001000000000000000000000000000000000000011010010100000000000000000001010001001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101000110100000010000000000000000000000000000000000000110100101000000000000000000010100010010100111100000000100001000010100000011111111101011100010011101011000110110100100000011011010010010101111010011101000000100000000000000000000000000000000000001101001010000000000000000000101000100101001111000000001000010000101000000111111111010111000100111010110001101101001000000110110100100101011110100111010000001000000000000000000000000000000000000011010010100000000000000000001110001001010011110000000010000100001010000001111111110101110001001110101100011011010010000001101101001001110111101001110100000010000000000000000000000000000000000000110100101000000000000000000011100010010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010011101000000100000000000000000000000000000000000001101001010000000000000000000111000100101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100011010000000000000000010000000000000000000000000011010010100000000000000000001110001001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101000110100000000000000000100000000000000000000000000110100101000000000000000000010010010010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010001101000000000000000001000000000000000000000000001101001010000000000000000000100100100101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100011010000000000000000010000000000000000000000000011010010100000000000000000001001001001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101001110100000000000000000100000000000000000000000000110100101000000000000000000010010010010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010011101000000000000000001000000000000000000000000001101001010000000000000000000110100100101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100111010000000000000000010000000000000000000000000011010010100000000000000000001101001001010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001110111101001110100000000000000000100000000000000000000000000110100101000000000000000000011010010010100111100000000100001000010000000011111111101011100010011101011000110110100100100011011010010010101111010001101000000100000000001000000000000000000000000001101001010000000000000000000110100100101001111000000001000010000100000000111111111010111000100111010110001101101001001000110110100100101011110100011010000001000000000010000000000000000000000000011010010100000000000000000001011001001010011110000000010000100001000000001111111110101110001001110101100011011010010010001101101001001110111101000110100000010000000000100000000000000000000000000110100101000000000000000000010110010010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010001101000000100000000001000000000000000000000000001101001010000000000000000000101100100101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100101011110100111010000001000000000010000000000000000000000000011010010100000000000000000001011001001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101001110100000010000000000100000000000000000000000000110100101000000000000000000011110010010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010011101111010011101000000100000000001000000000000000000000000001101001010000000000000000000111100100101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100111010000001000000000010000000000000000000000000011010010100000000000000000001111001001010011110000000010000100001010000001111111110101110001001110101100011011010010010001101101001001010111101000110100000000000000000000000000001000000000000000110100101000000000000000000011110010010100111100000000100001000010100000011111111101011100010011101011000110110100100100011011010010010101111010001101000000000000000000000000000010000000000000001101001010000000000000000000100010100101001111000000001000010000101000000111111111010111000100111010110001101101001001000110110100100111011110100011010000000000000000000000000000100000000000000011010010100000000000000000001000101001010011110000000010000100001010000001111111110100110001101110101100011011010010010001101101001001110111101000110100000000000000000000000000001000000000000000110100101000000000000000000010001010010100111100000000100001000010100000011111111101001100011011101011000110110100100100011011010010010101111010011101000000000000000000000000000010000000000000001101001010000000000000000000100010100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100111010000000000000000000000000000100000000000000011010010100000000000000000001100101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101001110100000000000000000000000000001000000000000000110100101000000000000000000011001010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010011101000000000000000000000000000010000000000000001101001010000000000000000000110010100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100011010000001000000000000000000000100000000000000011010010100000000000000000001100101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101000110100000010000000000000000000001000000000000000110100101000000000000000000010101010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010001101000000100000000000000000000010000000000000001101001010000000000000000000101010100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100011010000001000000000000000000000100000000000000011010010100000000000000000001010101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101001110100000010000000000000000000001000000000000000110100101000000000000000000010101010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010011101000000100000000000000000000010000000000000001101001010000000000000000000111010100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100111011110100111010000001000000000000000000000100000000000000011010010100000000000000000001110101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101001110100000010000000000000000000001000000000000000110100101000000000000000000011101010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010001101000000000000000001000000000010000000000000001101001010000000000000000000111010100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100011010000000000000000010000000000100000000000000011010010100000000000000000001001101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101000110100000000000000000100000000001000000000000000110100101000000000000000000010011010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010001101000000000000000001000000000010000000000000001101001010000000000000000000100110100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100111010000000000000000010000000000100000000000000011010010100000000000000000001001101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101001110100000000000000000100000000001000000000000000110100101000000000000000000011011010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010011101000000000000000001000000000010000000000000001101001010000000000000000000110110100101001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100111011110100111010000000000000000010000000000100000000000000011010010100000000000000000001101101001010011110000000010000100001000000001111111110100110001101110101000000001010010010001101101001001010111101000110100000010000000000100000000001000000000000000110100101000000000000000000011011010010100111100000000100001000010000000011111111101001100011011101010000000010100100100011011010010010101111010001101000000100000000001000000000010000000000000001101001010000000000000000000101110100101001111000000001000010000100000000111111111010011000110111010100000000101001001000110110100100111011110100011010000001000000000010000000000100000000000000011010010100000000000000000001011101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101000110100000010000000000100000000001000000000000000110100101000000000000000000010111010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010010101111010011101000000100000000001000000000010000000000000001101001010000000000000000000101110100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100111010000001000000000010000000000100000000000000011010010100000000000000000001111101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001110111101001110100000010000000000100000000001000000000000000110100101000000000000000000011111010010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010011101000000100000000001000000000010000000000000001101001010000000000000000000111110100101001111000000001000010000101000000111111111010011000110111010100000000101001001000110110100100101011110100011010000000000000000000000000000000000000001000011010010100000000000000000001111101001010011110000000010000100001010000001111111110100110001101110101000000001010010010001101101001001010111101000110100000000000000000000000000000000000000010000110100101000000000000000000010000110010100111100000000100001000010100000011111111101001100011011101010000000010100100100011011010010011101111010001101000000000000000000000000000000000000000100001101001010000000000000000000100001100101001111000000001000010000101000000111111111010000000000000000000000000101001001000110110100100111011110100011010000000000000000000000000000000000000001000011010010100000000000000000001000011001010011110000000010000100001010000001111111110100000000000000000000000001010010010001101101001001010111101001110100000000000000000000000000000000000000010000110100101000000000000000000010000110010100111100000000100001000010100000011111111101000000000000000000001111010100100100011011010010010101111010011101000000000000000000000000000000000000000100001101001010000000000000000000110001100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100111010000000000000000000000000000000000000001000011010010100000000000000000001100011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101001110100000000000000000000000000000000000000010000110100101000000000000000000011000110010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010001101000000100000000000000000000000000000000100001101001010000000000000000000110001100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100011010000001000000000000000000000000000000001000011010010100000000000000000001010011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101000110100000010000000000000000000000000000000010000110100101000000000000000000010100110010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010001101000000100000000000000000000000000000000100001101001010000000000000000000101001100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100111010000001000000000000000000000000000000001000011010010100000000000000000001010011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101001110100000010000000000000000000000000000000010000110100101000000000000000000011100110010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010011101111010011101000000100000000000000000000000000000000100001101001010000000000000000000111001100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100111010000001000000000000000000000000000000001000011010010100000000000000000001110011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101000110100000000000000000100000000000000000000010000110100101000000000000000000011100110010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010001101000000000000000001000000000000000000000100001101001010000000000000000000100101100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100011010000000000000000010000000000000000000001000011010010100000000000000000001001011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101000110100000000000000000100000000000000000000010000110100101000000000000000000010010110010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010011101000000000000000001000000000000000000000100001101001010000000000000000000100101100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100111010000000000000000010000000000000000000001000011010010100000000000000000001101011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101001110100000000000000000100000000000000000000010000110100101000000000000000000011010110010100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010011101111010011101000000000000000001000000000000000000000100001101001010000000000000000000110101100101001111000000001000010000100000000111111111010000000000000000000101010101001001000110110100100101011110100011010000001000000000010000000000000000000001000011010010100000000000000000001101011001010011110000000010000100001000000001111111110100000000000000000001010101010010010001101101001001010111101000110100000010000000000100000000000000000000010000110100101000000000000000000010110110010100111100000000100001000010000000011111111101000000000000000000010101010100100100011011010010011101111010001101000000100000000001000000000000000000000100001101001010000000000000000000101101100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100011010000001000000000010000000000000000000001000011010010100000000000000000001011011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001010111101001110100000010000000000100000000000000000000010000110100101000000000000000000010110110010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010011101000000100000000001000000000000000000000100001101001010000000000000000000111101100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100111011110100111010000001000000000010000000000000000000001000011010010100000000000000000001111011001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101001110100000010000000000100000000000000000000010000110100101000000000000000000011110110010100111100000000100001000010100000011111111101000000000000000000010101010100100100011011010010010101111010001101000000000000000000000000000010000000000100001101001010000000000000000000111101100101001111000000001000010000101000000111111111010000000000000000000101010101001001000110110100100101011110100011010000000000000000000000000000100000000001000011010010100000000000000000001000111001010011110000000010000100001010000001111111110100000000000000000001010101010010010001101101001001110111101000110100000000000000000000000000001000000000010000110100101000000000000000000010001110010100111100000000100001000010100000011111111101010000000000000000010101010100100100011011010010011101111010001101000000000000000000000000000010000000000100001101001010000000000000000000100011100101001111000000001000010000101000000111111111010100000000000000000101010101001001000110110100100101011110100111010000000000000000000000000000100000000001000011010010100000000000000000001000111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101001110100000000000000000000000000001000000000010000110100101000000000000000000011001110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010011101000000000000000000000000000010000000000100001101001010000000000000000000110011100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100111010000000000000000000000000000100000000001000011010010100000000000000000001100111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101000110100000010000000000000000000001000000000010000110100101000000000000000000011001110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010001101000000100000000000000000000010000000000100001101001010000000000000000000101011100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100011010000001000000000000000000000100000000001000011010010100000000000000000001010111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101000110100000010000000000000000000001000000000010000110100101000000000000000000010101110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010011101000000100000000000000000000010000000000100001101001010000000000000000000101011100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100111010000001000000000000000000000100000000001000011010010100000000000000000001110111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001110111101001110100000010000000000000000000001000000000010000110100101000000000000000000011101110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010011101000000100000000000000000000010000000000100001101001010000000000000000000111011100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100011010000000000000000010000000000100000000001000011010010100000000000000000001110111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101000110100000000000000000100000000001000000000010000110100101000000000000000000010011110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010001101000000000000000001000000000010000000000100001101001010000000000000000000100111100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100011010000000000000000010000000000100000000001000011010010100000000000000000001001111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101001110100000000000000000100000000001000000000010000110100101000000000000000000010011110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010011101000000000000000001000000000010000000000100001101001010000000000000000000110111100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100111010000000000000000010000000000100000000001000011010010100000000000000000001101111001010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001110111101001110100000000000000000100000000001000000000010000110100101000000000000000000011011110010100111100000000100001000010000000011111111101010000000000000001100000010100100100011011010010010101111010001101000000100000000001000000000010000000000100001101001010000000000000000000110111100101001111000000001000010000100000000111111111010100000000000000011000000101001001000110110100100101011110100011010000001000000000010000000000100000000001000011010010100000000000000000001011111001010011110000000010000100001000000001111111110101000000000000000110000001010010010001101101001001110111101000110100000010000000000100000000001000000000010000110100101000000000000000000010111110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010001101000000100000000001000000000010000000000100001101001010000000000000000000101111100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100101011110100111010000001000000000010000000000100000000001000011010010100000000000000000001011111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101001110100000010000000000100000000001000000000010000110100101000000000000000000011111110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010011101111010011101000000100000000001000000000010000000000100001101001010000000000000000000111111100101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100111010000001000000000010000000000100000000001000011010010100000000000000000001111111001010011110000000010000100001010000001111111110101000000000000000110000001010010010001101101001001010111101000110100000000000000000000000000000000000000000000001100101000000000000000000011111110010100111100000000100001000010100000011111111101010000000000000001100000010100100100011011010010010101111010001101000000000000000000000000000000000000000000000011001010000000000000000000100000010101001111000000001000010000101000000111111111010100000000000000011000000101001001000110110100100111011110100011010000000000000000000000000000000000000000000000110010100000000000000000001000000101010011110000000010000100001010000001111111110101000000110101011110000001010010010001101101001001110111101000110100000000000000000000000000000000000000000000001100101000000000000000000010000001010100111100000000100001000010100000011111111101010000001101010111100000010100100100011011010010010101111010011101000000000000000000000000000000000000000000000011001010000000000000000000100000010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100101011110100111010000000000000000000000000000000000000000000000110010100000000000000000001100000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001110111101001110100000000000000000000000000000000000000000000001100101000000000000000000011000001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011101111010011101000000000000000000000000000000000000000000000011001010000000000000000000110000010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100101011110100011010000001000000000000000000000000000000000000000110010100000000000000000001100000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001010111101000110100000010000000000000000000000000000000000000001100101000000000000000000010100001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010011101111010001101000000100000000000000000000000000000000000000011001010000000000000000000101000010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100111011110100011010000001000000000000000000000000000000000000000110010100000000000000000001010000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001010111101001110100000010000000000000000000000000000000000000001100101000000000000000000010100001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010010101111010011101000000100000000000000000000000000000000000000011001010000000000000000000111000010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100100111011110100111010000001000000000000000000000000000000000000000110010100000000000000000001110000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001001110111101001110100000010000000000000000000000000000000000000001100101000000000000000000011100001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010001101000000000000000001000000000000000000000000000011001010000000000000000000111000010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100011010000000000000000010000000000000000000000000000110010100000000000000000001001000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011110111101000110100000000000000000100000000000000000000000000001100101000000000000000000010010001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111101111010001101000000000000000001000000000000000000000000000011001010000000000000000000100100010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100111010000000000000000010000000000000000000000000000110010100000000000000000001001000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101001110100000000000000000100000000000000000000000000001100101000000000000000000011010001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111101111010011101000000000000000001000000000000000000000000000011001010000000000000000000110100010101001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101111011110100111010000000000000000010000000000000000000000000000110010100000000000000000001101000101010011110000000010000100001000000001111111110101000000110101011111111111010010010001101101001011010111101000110100000010000000000100000000000000000000000000001100101000000000000000000011010001010100111100000000100001000010000000011111111101010000001101010111111111110100100100011011010010110101111010001101000000100000000001000000000000000000000000000011001010000000000000000000101100010101001111000000001000010000100000000111111111010100000011010101111111111101001001000110110100101111011110100011010000001000000000010000000000000000000000000000110010100000000000000000001011000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011110111101000110100000010000000000100000000000000000000000000001100101000000000000000000010110001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010110101111010011101000000100000000001000000000000000000000000000011001010000000000000000000101100010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100111010000001000000000010000000000000000000000000000110010100000000000000000001111000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011110111101001110100000010000000000100000000000000000000000000001100101000000000000000000011110001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111101111010011101000000100000000001000000000000000000000000000011001010000000000000000000111100010101001111000000001000010000101000000111111111010100000011010101111111111101001001000110110100101101011110100011010000000000000000000000000000100000000000000000110010100000000000000000001111000101010011110000000010000100001010000001111111110101000000110101011111111111010010010001101101001011010111101000110100000000000000000000000000001000000000000000001100101000000000000000000010001001010100111100000000100001000010100000011111111101010000001101010111111111110100100100011011010010111101111010001101000000000000000000000000000010000000000000000011001010000000000000000000100010010101001111000000001000010000101000000111111111010111000110111010111111111101001011000110110100101111011110100011010000000000000000000000000000100000000000000000110010100000000000000000001000100101010011110000000010000100001010000001111111110101110001101110101111111111010010110001101101001011010111101001110100000000000000000000000000001000000000000000001100101000000000000000000010001001010100111100000000100001000010100000011111111101011100011011101010000000010100101100011011010010110101111010011101000000000000000000000000000010000000000000000011001010000000000000000000110010010101001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101111011110100111010000000000000000000000000000100000000000000000110010100000000000000000001100100101010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011110111101001110100000000000000000000000000001000000000000000001100101000000000000000000011001001010100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010110101111010001101000000100000000000000000000010000000000000000011001010000000000000000000110010010101001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101101011110100011010000001000000000000000000000100000000000000000110010100000000000000000001010100101010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011110111101000110100000010000000000000000000001000000000000000001100101000000000000000000010101001010100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111101111010001101000000100000000000000000000010000000000000000011001010000000000000000000001010010101001111000000001000010000101000000111111111010111000110111010110110001101001011000110110100101101011110100111010000001000000000000000000000100000000000000000110010100000000000000000000010100101010011110000000010000100001010000001111111110101110001101110101101100011010010110001101101001011010111101001110100000010000000000000000000001000000000000000001100101000000000000000000001101001010100111100000000100001000010100000011111111101011100011011101011011000110100101100011011010010111101111010011101000000100000000000000000000010000000000000000011001010000000000000000000011010010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100111010000001000000000000000000000100000000000000000110010100000000000000000000110100101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101000110100000000000000000100000000001000000000000000001100101000000000000000000001101001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010001101000000000000000001000000000010000000000000000011001010000000000000000000000110010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100011010000000000000000010000000000100000000000000000110010100000000000000000000001100101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101000110100000000000000000100000000001000000000000000001100101000000000000000000000011001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010011101000000000000000001000000000010000000000000000011001010000000000000000000000110010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100111010000000000000000010000000000100000000000000000110010100000000000000000000101100101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101001110100000000000000000100000000001000000000000000001100101000000000000000000001011001010100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010111101111010011101000000000000000001000000000010000000000000000011001010000000000000000000010110010101001111000000001000010000100000000111111111010111000110111010110110001101001011011000110100101101011110100011010000001000000000010000000000100000000000000000110010100000000000000000000101100101010011110000000010000100001000000001111111110101110001101110101101100011010010110110001101001011010111101000110100000010000000000100000000001000000000000000001100101000000000000000000000111001010100111100000000100001000010000000011111111101011100011011101011011000110100101101100011010010111101111010001101000000100000000001000000000010000000000000000011001010000000000000000000001110010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100011010000001000000000010000000000100000000000000000110010100000000000000000000011100101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011010111101001110100000010000000000100000000001000000000000000001100101000000000000000000000111001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010011101000000100000000001000000000010000000000000000011001010000000000000000000011110010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101111011110100111010000001000000000010000000000100000000000000000110010100000000000000000000111100101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101001110100000010000000000100000000001000000000000000001100101000000000000000000001111001010100111100000000100001000010100000011111111101011100011011101011011000110100101101100011010010110101111010001101000000000000000000000000000000000000000100000011001010000000000000000000011110010101001111000000001000010000101000000111111111010111000110111010110110001101001011011000110100101101011110100011010000000000000000000000000000000000000001000000110010100000000000000000000000010101010011110000000010000100001010000001111111110101110001101110101101100011010010110110001101001011110111101000110100000000000000000000000000000000000000010000001100101000000000000000000000000101010100111100000000100001000010100000011111111101001100010111101011011000110100101101100011010010111101111010001101000000000000000000000000000000000000000100000011001010000000000000000000000001010101001111000000001000010000101000000111111111010011000101111010110110001101001011011000110100101101011110100111010000000000000000000000000000000000000001000000110010100000000000000000000000010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101001110100000000000000000000000000000000000000010000001100101000000000000000000001000101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010011101000000000000000000000000000000000000000100000011001010000000000000000000010001010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100111010000000000000000000000000000000000000001000000110010100000000000000000000100010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101000110100000010000000000000000000000000000000010000001100101000000000000000000001000101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010001101000000100000000000000000000000000000000100000011001010000000000000000000001001010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100011010000001000000000000000000000000000000001000000110010100000000000000000000010010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101000110100000010000000000000000000000000000000010000001100101000000000000000000000100101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010011101000000100000000000000000000000000000000100000011001010000000000000000000001001010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100111010000001000000000000000000000000000000001000000110010100000000000000000000110010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011110111101001110100000010000000000000000000000000000000010000001100101000000000000000000001100101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010011101000000100000000000000000000000000000000100000011001010000000000000000000011001010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100011010000000000000000010000000000000000000001000000110010100000000000000000000110010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101000110100000000000000000100000000000000000000010000001100101000000000000000000000010101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010001101000000000000000001000000000000000000000100000011001010000000000000000000000101010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100011010000000000000000010000000000000000000001000000110010100000000000000000000001010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101001110100000000000000000100000000000000000000010000001100101000000000000000000000010101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010011101000000000000000001000000000000000000000100000011001010000000000000000000010101010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100111010000000000000000010000000000000000000001000000110010100000000000000000000101010101010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011110111101001110100000000000000000100000000000000000000010000001100101000000000000000000001010101010100111100000000100001000010000000011111111101001100010111101011110000010100101101100011010010110101111010001101000000100000000001000000000000000000000100000011001010000000000000000000010101010101001111000000001000010000100000000111111111010011000101111010111100000101001011011000110100101101011110100011010000001000000000010000000000000000000001000000110010100000000000000000000011010101010011110000000010000100001000000001111111110100110001011110101111000001010010110110001101001011110111101000110100000010000000000100000000000000000000010000001100101000000000000000000000110101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010001101000000100000000001000000000000000000000100000011001010000000000000000000001101010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101101011110100111010000001000000000010000000000000000000001000000110010100000000000000000000011010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101001110100000010000000000100000000000000000000010000001100101000000000000000000001110101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010111101111010011101000000100000000001000000000000000000000100000011001010000000000000000000011101010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100111010000001000000000010000000000000000000001000000110010100000000000000000000111010101010011110000000010000100001010000001111111110100110001011110101111000001010010110110001101001011010111101000110100000000000000000000000000001000000000010000001100101000000000000000000001110101010100111100000000100001000010100000011111111101001100010111101011110000010100101101100011010010110101111010001101000000000000000000000000000010000000000100000011001010000000000000000000000011010101001111000000001000010000101000000111111111010011000101111010111100000101001011011000110100101111011110100011010000000000000000000000000000100000000001000000110010100000000000000000000000110101010011110000000010000100001010000001111111110101110001011110101111000001010010110110001101001011110111101000110100000000000000000000000000001000000000010000001100101000000000000000000000001101010100111100000000100001000010100000011111111101011100010111101011110000010100101101100011010010110101111010011101000000000000000000000000000010000000000100000011001010000000000000000000000011010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000000000000000000000000000100000000001000000110010100000000000000000000100110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000000000000000000000000001000000000010000001100101000000000000000000001001101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000000000000000000000000010000000000100000011001010000000000000000000010011010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000001000000000000000000000100000000001000000110010100000000000000000000100110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000010000000000000000000001000000000010000001100101000000000000000000000101101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000100000000000000000000010000000000100000011001010000000000000000000001011010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100011010000001000000000000000000000100000000001000000110010100000000000000000000010110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000010000000000000000000001000000000010000001100101000000000000000000000101101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000100000000000000000000010000000000100000011001010000000000000000000011011010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101111011110100111010000001000000000000000000000100000000001000000110010100000000000000000000110110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000010000000000000000000001000000000010000001100101000000000000000000001101101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010001101000000000000000001000000000010000000000100000011001010000000000000000000011011010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000000000000000010000000000100000000001000000110010100000000000000000000001110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000000000000000100000000001000000000010000001100101000000000000000000000011101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000000000000001000000000010000000000100000011001010000000000000000000000111010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000000000000000010000000000100000000001000000110010100000000000000000000001110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101001110100000000000000000100000000001000000000010000001100101000000000000000000001011101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000000000000001000000000010000000000100000011001010000000000000000000010111010101001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101111011110100111010000000000000000010000000000100000000001000000110010100000000000000000000101110101010011110000000010000100001000000001111111110101110001011110101000001001010010110110001101001011010111101000110100000010000000000100000000001000000000010000001100101000000000000000000001011101010100111100000000100001000010000000011111111101011100010111101010000010010100101101100011010010110101111010001101000000100000000001000000000010000000000100000011001010000000000000000000001111010101001111000000001000010000100000000111111111010111000101111010100000100101001011011000110100101111011110100011010000001000000000010000000000100000000001000000110010100000000000000000000011110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101000110100000010000000000100000000001000000000010000001100101000000000000000000000111101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010110101111010011101000000100000000001000000000010000000000100000011001010000000000000000000001111010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100111010000001000000000010000000000100000000001000000110010100000000000000000000111110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011110111101001110100000010000000000100000000001000000000010000001100101000000000000000000001111101010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010011101000000100000000001000000000010000000000100000011001010000000000000000000011111010101001111000000001000010000101000000111111111010111000101111010100000100101001011011000110100101101011110100011010000000000000000000000000000000000000000000010110010100000000000000000000111110101010011110000000010000100001010000001111111110101110001011110101000001001010010110110001101001011010111101000110100000000000000000000000000000000000000000000101100101000000000000000000000000011010100111100000000100001000010100000011111111101011100010111101010000010010100101101100011010010111101111010001101000000000000000000000000000000000000000000001011001010000000000000000000000000110101001111000000001000010000101000000111111111010100010011000000000000100111111111011000110100101111011110100011010000000000000000000000000000000000000000000010110010100000000000000000000000001101010011110000000010000100001010000001111111111101000100110000000000001001111111110110001101001011010111101001110100000000000000000000000000000000000000000000101100101000000000000000000000000011010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010011101000000000000000000000000000000000000000000001011001010000000000000000000010000110101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000000000000000000000000000000000000000000010110010100000000000000000000100001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000000000000000000000000000000000000000000101100101000000000000000000001000011010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000100000000000000000000000000000000000001011001010000000000000000000010000110101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000001000000000000000000000000000000000000010110010100000000000000000000010001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101000110100000010000000000000000000000000000000000000101100101000000000000000000000100011010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010001101000000100000000000000000000000000000000000001011001010000000000000000000001000110101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100111010000001000000000000000000000000000000000000010110010100000000000000000000010001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101001110100000010000000000000000000000000000000000000101100101000000000000000000001100011010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010111101111010011101000000100000000000000000000000000000000000001011001010000000000000000000011000110101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000001000000000000000000000000000000000000010110010100000000000000000000110001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101000110100000000000000000100000000000000000000000000101100101000000000000000000001100011010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000000000000001000000000000000000000000001011001010000000000000000000000100110101001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101111011110100011010000000000000000010000000000000000000000000010110010100000000000000000000001001101010011110000000010000100001010000001111111111001000100110000000111111111111111110110001101001011110111101000110100000000000000000100000000000000000000000000101100101000000000000000000000010011010100111100000000100001000010100000011111111110010001001100000001111111111111111101100011010010110101111010011101000000000000000001000000000000000000000000001011001010000000000000000000000100110101001111000000001000010000101000000111111111100100010011000000011111111111111111011000110100101101011110100111010000000000000000010000000000000000000000000010110010100000000000000000000101001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000000000000000100000000000000000000000000101100101000000000000000000001010011010100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010111101111010011101000000000000000001000000000000000000000000001011001010000000000000000000010100110101001111000000001000010000100000000111111111110100010011000000011111111111111111011000110100101101011110100011010000001000000000010000000000000000000000000010110010100000000000000000000101001101010011110000000010000100001000000001111111111101000100110000000111111111111111110110001101001011010111101000110100000010000000000100000000000000000000000000101100101000000000000000000000110011010100111100000000100001000010000000011111111111010001001100000001111111111111111101100011010010111101111010001101000000100000000001000000000000000000000000001011001010000000000000000000001100110101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100011010000001000000000010000000000000000000000000010110010100000000000000000000011001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011010111101001110100000010000000000100000000000000000000000000101100101000000000000000000000110011010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010011101000000100000000001000000000000000000000000001011001010000000000000000000011100110101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101111011110100111010000001000000000010000000000000000000000000010110010100000000000000000000111001101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101001110100000010000000000100000000000000000000000000101100101000000000000000000001110011010100111100000000100001000010100000011111111111010001001100000001111111111111111101100011010010110101111010001101000000000000000000000000000010000000000000001011001010000000000000000000011100110101001111000000001000010000101000000111111111110100010011000000011111111111111111011000110100101101011110100011010000000000000000000000000000100000000000000010110010100000000000000000000000101101010011110000000010000100001010000001111111111101000100110000000111111111111111110110001101001011110111101000110100000000000000000000000000001000000000000000101100101000000000000000000000001011010100111100000000100001000010100000011111111111001100011111101011111111110100101101100011010010111101111010001101000000000000000000000000000010000000000000001011001010000000000000000000000010110101001111000000001000010000101000000111111111010011000111111010111111111101001011011000110100101101011110100111010000000000000000000000000000100000000000000010110010100000000000000000000000101101010011110000000010000100001010000001111111110100110001111110101111111111010010110110001101001011010111101001110100000000000000000000000000001000000000000000101100101000000000000000000001001011010100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010111101111010011101000000000000000000000000000010000000000000001011001010000000000000000000010010110101001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101111011110100111010000000000000000000000000000100000000000000010110010100000000000000000000100101101010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011010111101000110100000010000000000000000000001000000000000000101100101000000000000000000001001011010100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110101111010001101000000100000000000000000000010000000000000001011001010000000000000000000001010110101001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101111011110100011010000001000000000000000000000100000000000000010110010100000000000000000000010101101010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011110111101000110100000010000000000000000000001000000000000000101100101000000000000000000000101011010100111100000000100001000010100000011111111101001100011111101010101001110100101101100011010010110101111010011101000000100000000000000000000010000000000000001011001010000000000000000000001010110101001111000000001000010000101000000111111111010011000111111010101010011101001011011000110100101101011110100111010000001000000000000000000000100000000000000010110010100000000000000000000110101101010011110000000010000100001010000001111111110100110001111110101010100111010010110110001101001011110111101001110100000010000000000000000000001000000000000000101100101000000000000000000001101011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000100000000000000000000010000000000000001011001010000000000000000000011010110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000000000000000010000000000100000000000000010110010100000000000000000000110101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000000000000000100000000001000000000000000101100101000000000000000000000011011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000000000000001000000000010000000000000001011001010000000000000000000000110110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000000000000000010000000000100000000000000010110010100000000000000000000001101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000000000000000100000000001000000000000000101100101000000000000000000000011011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000000000000001000000000010000000000000001011001010000000000000000000010110110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000000000000000010000000000100000000000000010110010100000000000000000000101101101010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011110111101001110100000000000000000100000000001000000000000000101100101000000000000000000001011011010100111100000000100001000010000000011111111101001100011111101010101001110100101010100111010010110101111010001101000000100000000001000000000010000000000000001011001010000000000000000000010110110101001111000000001000010000100000000111111111010011000111111010101010011101001010101001110100101101011110100011010000001000000000010000000000100000000000000010110010100000000000000000000011101101010011110000000010000100001000000001111111110100110001111110101010100111010010101010011101001011110111101000110100000010000000000100000000001000000000000000101100101000000000000000000000111011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000100000000001000000000010000000000000001011001010000000000000000000001110110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000001000000000010000000000100000000000000010110010100000000000000000000011101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000010000000000100000000001000000000000000101100101000000000000000000001111011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000100000000001000000000010000000000000001011001010000000000000000000011110110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000001000000000010000000000100000000000000010110010100000000000000000000111101101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000000000000000000000000000000000000010000101100101000000000000000000001111011010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010001101000000000000000000000000000000000000000100001011001010000000000000000000000001110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000000000000000000000000000000000000001000010110010100000000000000000000000011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101000110100000000000000000000000000000000000000010000101100101000000000000000000000000111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000000000000000000000000000000000000100001011001010000000000000000000000001110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100111010000000000000000000000000000000000000001000010110010100000000000000000000100011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000000000000000000000000000000000000010000101100101000000000000000000001000111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010011101000000000000000000000000000000000000000100001011001010000000000000000000010001110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101101011110100011010000001000000000000000000000000000000001000010110010100000000000000000000100011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101000110100000010000000000000000000000000000000010000101100101000000000000000000000100111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010111101111010001101000000100000000000000000000000000000000100001011001010000000000000000000001001110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100011010000001000000000000000000000000000000001000010110010100000000000000000000010011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011010111101001110100000010000000000000000000000000000000010000101100101000000000000000000000100111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111010010110101111010011101000000100000000000000000000000000000000100001011001010000000000000000000011001110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001110100101111011110100111010000001000000000000000000000000000000001000010110010100000000000000000000110011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011101001011110111101001110100000010000000000000000000000000000000010000101100101000000000000000000001100111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111110010010101111010001101000000000000000001000000000000000000000100001011001010000000000000000000011001110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001111100100101011110100011010000000000000000010000000000000000000001000010110010100000000000000000000001011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011111001001110111101000110100000000000000000100000000000000000000010000101100101000000000000000000000010111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111110010011101111010001101000000000000000001000000000000000000000100001011001010000000000000000000000101110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001111100100101011110100111010000000000000000010000000000000000000001000010110010100000000000000000000001011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011111001001010111101001110100000000000000000100000000000000000000010000101100101000000000000000000001010111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111110010011101111010011101000000000000000001000000000000000000000100001011001010000000000000000000010101110101001111000000001000010000100000000111111111010011000111111010101010011101001010101001111100100111011110100111010000000000000000010000000000000000000001000010110010100000000000000000000101011101010011110000000010000100001000000001111111110100110001111110101010100111010010101010011111001001010111101000110100000010000000000100000000000000000000010000101100101000000000000000000001010111010100111100000000100001000010000000011111111101001100011111101010101001110100101010100111110010010101111010001101000000100000000001000000000000000000000100001011001010000000000000000000001101110101001111000000001000010000100000000111111111010011000111111010101010011101001010101001111100100111011110100011010000001000000000010000000000000000000001000010110010100000000000000000000011011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011111001001110111101000110100000010000000000100000000000000000000010000101100101000000000000000000000110111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111110010010101111010011101000000100000000001000000000000000000000100001011001010000000000000000000001101110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001111100100101011110100111010000001000000000010000000000000000000001000010110010100000000000000000000111011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011111001001110111101001110100000010000000000100000000000000000000010000101100101000000000000000000001110111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111110010011101111010011101000000100000000001000000000000000000000100001011001010000000000000000000011101110101001111000000001000010000101000000111111111010011000111111010101010011101001010101001111100100101011110100011010000000000000000000000000000100000000001000010110010100000000000000000000111011101010011110000000010000100001010000001111111110100110001111110101010100111010010101010011111001001010111101000110100000000000000000000000000001000000000010000101100101000000000000000000000001111010100111100000000100001000010100000011111111101001100011111101010101001110100101010100111110010011101111010001101000000000000000000000000000010000000000100001011001010000000000000000000000011110101001111000000001000010000101000000111111111010111000111111010101010011111001000101001111100100111011110100011010000000000000000000000000000100000000001000010110010100000000000000000000000111101010011110000000010000100001010000001111111110101110001111110101010100111110010001010011111001001010111101001110100000000000000000000000000001000000000010000101100101000000000000000000000001111010100111100000000100001000010100000011111111101011100011111101010000101111100100010100111110010010101111010011101000000000000000000000000000010000000000100001011001010000000000000000000010011110101001111000000001000010000101000000111111111010111000111111010100001011111001000101001111100100111011110100111010000000000000000000000000000100000000001000010110010100000000000000000000100111101010011110000000010000100001010000001111111110101110001111110101000010111110010001010011111001001110111101001110100000000000000000000000000001000000000010000101100101000000000000000000001001111010100111100000000100001000010100000011111111101011100011111101010000101111100100010100111110010010101111010001101000000100000000000000000000010000000000100001011001010000000000000000000010011110101001111000000001000010000101000000111111111010111000111111010100001011111001000101001111100100101011110100011010000001000000000000000000000100000000001000010110010100000000000000000000010111101010011110000000010000100001010000001111111110101110001111110101000010111110010001010011111001001110111101000110100000010000000000000000000001000000000010000101100101000000000000000000000101111010100111100000000100001000010100000011111111101011100011111101010000101111100100010100111110010011101111010001101000000100000000000000000000010000000000100001011001010000000000000000000101011110101001111000000001000010000101000000111111111010111000111111010100001011111001000101001111100100101011110100111010000001000000000000000000000100000000001000010110010100000000000000000001010111101010011110000000010000100001010000001111111110101110001111110101000010111110010001010011111001001010111101001110100000010000000000000000000001000000000010000101100101000000000000000000011101111010100111100000000100001000010100000011111111101011100011111101010000101111100100010100111110010011101111010011101000000100000000000000000000010000000000100001011001010000000000000000000111011110101001111000000001000010000101000000111111111010111000111111010100001011111001000000101111100100111011110100111010000001000000000000000000000100000000001000010110010100000000000000000001110111101010011110000000010000100001010000001111111110101110001111110101000010111110010000001011111001001010111101000110100000000000000000100000000001000000000010000101100101000000000000000000011101111010100111100000000100001000010100000011111111101011100011111101010000101111100100000010111110010010101111010001101000000000000000001000000000010000000000100001011001010000000000000000000100111110101001111000000001000010000101000000111111111010111000111111010100001011111001000000101111100100111011110100011010000000000000000010000000000100000000001000010110010100000000000000000001001111101010011110000000010000100001010000001111111110101110001111110101000010111110010000001011111001001110111101000110100000000000000000100000000001000000000010000101100101000000000000000000010011111010100111100000000100001000010100000011111111101011100011111101010000101111100100000010111110010010101111010011101000000000000000001000000000010000000000100001011001010000000000000000000100111110101001111000000001000010000101000000111111111010111000111111010100001011111001000000101111100100101011110100111010000000000000000010000000000100000000001000010110010100000000000000000001101111101010011110000000010000100001010000001111111110101110001111110101000010111110010000001011111001001110111101001110100000000000000000100000000001000000000010000101100101000000000000000000011011111010100111100000000100001000010000000011111111101011100011111101010000101111100100000010111110010011101111010011101000000000000000001000000000010000000000100001011001010000000000000000000110111110101001111000000001000010000100000000111111111010111000111111010100001011111001000000101111100100101011110100011010000001000000000010000000000100000000001000010110010100000000000000000001101111101010011110000000010000100001000000001111111110101110001111110101000010111110010000001011111001001010111101000110100000010000000000100000000001000000000010000101100101000000000000000000010111111010100111100000000100001000010000000011111111101011100011111101010000101111100100000010111110010011101111010001101000000100000000001000000000010000000000100001011001010000000000000000000101111110101001111000000001000010000101000000111111111010111000111111010100001011111001000000101111100100111011110100011010000001000000000010000000000100000000001000010110010100000000000000000001011111101010011110000000010000100001010000001111111110101110001111110101000010111110010000001011111001001010111101001110100000010000000000100000000001000000000010000101100101000000000000000000010111111010100111100000000100001000010100000011111111101011100011111101010000101111100100000010111110010010101111010011101000000100000000001000000000010000000000100001011001010000000000000000000111111110101001111000000001000010000101000000111111111010111000111111010100001011111001000000101111100100111011110100111010000001000000000010000000000100000000001000010110010100000000000000000001111111101010011110000000010000100001010000001111111110101110001111110101000010111110010000001011111001001110111101001110100000010000000000100000000001000000000010000101100101000000000000000000011111111010100111100000000100001000010100000011111111101011100011111101010000101111100100000010111110010010101111010001101000000000000000000000000000000000000000000000111001010000000000000000000111111110101001111000000001000010000101000000111111111010111000111111010100001011111001000000101111100100101011110100011010000000000000000000000000000000000000000000001110010100000000000000000001000000011010011110000000010000100001010000001111111110101110001111110101000010111110010000001011111001001110111101000110100000000000000000000000000000000000000000000011100101000000000000000000010000000110100111100000000100001000010100000011111111101001100010000011010000101111100100000010111110010011101111010001101000000000000000000000000000000000000000000000111001010000000000000000000100000001101001111000000001000010000101000000111111111010011000100000110100001011111001000000101111100100101011110100111010000000000000000000000000000000000000000000001110010100000000000000000001000000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001010111101001110100000000000000000000000000000000000000000000011100101000000000000000000011000000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010011101111010011101000000000000000000000000000000000000000000000111001010000000000000000000110000001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100111011110100111010000000000000000000000000000000000000000000001110010100000000000000000001100000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001010111101000110100000010000000000000000000000000000000000000011100101000000000000000000011000000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010010101111010001101000000100000000000000000000000000000000000000111001010000000000000000000101000001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100111011110100011010000001000000000000000000000000000000000000001110010100000000000000000001010000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001110111101000110100000010000000000000000000000000000000000000011100101000000000000000000010100000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010010101111010011101000000100000000000000000000000000000000000000111001010000000000000000000101000001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100101011110100111010000001000000000000000000000000000000000000001110010100000000000000000001110000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001110111101001110100000010000000000000000000000000000000000000011100101000000000000000000011100000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010011101111010011101000000100000000000000000000000000000000000000111001010000000000000000000111000001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100101011110100011010000000000000000010000000000000000000000000001110010100000000000000000001110000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001010111101000110100000000000000000100000000000000000000000000011100101000000000000000000010010000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010011101111010001101000000000000000001000000000000000000000000000111001010000000000000000000100100001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100111011110100011010000000000000000010000000000000000000000000001110010100000000000000000001001000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001010111101001110100000000000000000100000000000000000000000000011100101000000000000000000010010000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010010101111010011101000000000000000001000000000000000000000000000111001010000000000000000000110100001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100111011110100111010000000000000000010000000000000000000000000001110010100000000000000000001101000011010011110000000010000100001000000001111111110100110001000001101101011111110010000001011111001001110111101001110100000000000000000100000000000000000000000000011100101000000000000000000011010000110100111100000000100001000010000000011111111101001100010000011011010111111100100000010111110010010101111010001101000000100000000001000000000000000000000000000111001010000000000000000000110100001101001111000000001000010000100000000111111111010011000100000110110101111111001000000101111100100101011110100011010000001000000000010000000000000000000000000001110010100000000000000000001011000011010011110000000010000100001000000001111111110100110001000001101101011111110010000001011111001001110111101000110100000010000000000100000000000000000000000000011100101000000000000000000010110000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010011101111010001101000000100000000001000000000000000000000000000111001010000000000000000000101100001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100101011110100111010000001000000000010000000000000000000000000001110010100000000000000000001011000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001010111101001110100000010000000000100000000000000000000000000011100101000000000000000000011110000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010011101111010011101000000100000000001000000000000000000000000000111001010000000000000000000111100001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100111011110100111010000001000000000010000000000000000000000000001110010100000000000000000001111000011010011110000000010000100001010000001111111110100110001000001101101011111110010000001011111001001010111101000110100000000000000000000000000001000000000000000011100101000000000000000000011110000110100111100000000100001000010100000011111111101001100010000011011010111111100100000010111110010010101111010001101000000000000000000000000000010000000000000000111001010000000000000000000100010001101001111000000001000010000101000000111111111010011000100000110110101111111001000000101111100100111011110100011010000000000000000000000000000100000000000000001110010100000000000000000001000100011010011110000000010000100001010000001111111110101110001000001101101011111110010000001011111001001110111101000110100000000000000000000000000001000000000000000011100101000000000000000000010001000110100111100000000100001000010100000011111111101011100010000011011010111111100100000010111110010010101111010011101000000000000000000000000000010000000000000000111001010000000000000000000100010001101001111000000001000010000101000000111111111010111000100000110100011100111001000000101111100100101011110100111010000000000000000000000000000100000000000000001110010100000000000000000001100100011010011110000000010000100001010000001111111110101110001000001101000111001110010000001011111001001110111101001110100000000000000000000000000001000000000000000011100101000000000000000000011001000110100111100000000100001000010100000011111111101011100010000011010001110011100100000010111110010011101111010011101000000000000000000000000000010000000000000000111001010000000000000000000110010001101001111000000001000010000101000000111111111010111000100000110100011100111001000000101111100100101011110100011010000001000000000000000000000100000000000000001110010100000000000000000001100100011010011110000000010000100001010000001111111110101110001000001101000111001110010000001011111001001010111101000110100000010000000000000000000001000000000000000011100101000000000000000000010101000110100111100000000100001000010100000011111111101011100010000011010001110011100100000010111110010011101111010001101000000100000000000000000000010000000000000000111001010000000000000000000101010001101001111000000001000010000101000000111111111010111000100000110100011100111001000000101111100100111011110100011010000001000000000000000000000100000000000000001110010100000000000000000001010100011010011110000000010000100001010000001111111110101110001000001101000111001110010000001011111001001010111101001110100000010000000000000000000001000000000000000011100101000000000000000000010101000110100111100000000100001000010100000011111111101011100010000011010001110011100100000010111110010010101111010011101000000100000000000000000000010000000000000000111001010000000000000000000111010001101001111000000001000010000101000000111111111010111000100000110100011100111001000000101111100100111011110100111010000001000000000000000000000100000000000000001110010100000000000000000001110100011010011110000000010000100001010000001111111110101110001000001101000111001110010000011100111001001110111101001110100000010000000000000000000001000000000000000011100101000000000000000000011101000110100111100000000100001000010100000011111111101011100010000011010001110011100100000111001110010010101111010001101000000000000000001000000000010000000000000000111001010000000000000000000111010001101001111000000001000010000101000000111111111010111000100000110100011100111001000001110011100100101011110100011010000000000000000010000000000100000000000000001110010100000000000000000001001100011010011110000000010000100001010000001111111110101110001000001101000111001110010000011100111001001110111101000110100000000000000000100000000001000000000000000011100101000000000000000000010011000110100111100000000100001000010100000011111111101011100010000011010001110011100100000111001110010011101111010001101000000000000000001000000000010000000000000000111001010000000000000000000100110001101001111000000001000010000101000000111111111010111000100000110100011100111001000001110011100100101011110100111010000000000000000010000000000100000000000000001110010100000000000000000001001100011010011110000000010000100001010000001111111110101110001000001101000111001110010000011100111001001010111101001110100000000000000000100000000001000000000000000011100101000000000000000000011011000110100111100000000100001000010100000011111111101011100010000011010001110011100100000111001110010011101111010011101000000000000000001000000000010000000000000000111001010000000000000000000110110001101001111000000001000010000100000000111111111010111000100000110100011100111001000001110011100100111011110100111010000000000000000010000000000100000000000000001110010100000000000000000001101100011010011110000000010000100001000000001111111110101110001000001101000111001110010000011100111001001010111101000110100000010000000000100000000001000000000000000011100101000000000000000000011011000110100111100000000100001000010000000011111111101011100010000011010001110011100100000111001110010010101111010001101000000100000000001000000000010000000000000000111001010000000000000000000101110001101001111000000001000010000100000000111111111010111000100000110100011100111001000001110011100100111011110100011010000001000000000010000000000100000000000000001110010100000000000000000001011100011010011110000000010000100001010000001111111110101110001000001101000111001110010000011100111001001110111101000110100000010000000000100000000001000000000000000011100101000000000000000000010111000110100111100000000100001000010100000011111111101011100010000011010001110011100100000111001110010010101111010011101000000100000000001000000000010000000000000000111001010000000000000000000101110001101001111000000001000010000101000000111111111010111000100000110100011100111001000001110011100100101011110100111010000001000000000010000000000100000000000000001110010100000000000000000001111100011010011110000000010000100001010000001111111110101110001000001101000111001110010000011100111001001110111101001110100000010000000000100000000001000000000000000011100101000000000000000000011111000110100111100000000100001000010100000011111111101011100010000011010001110011100100000111001110010011101111010011101000000100000000001000000000010000000000000000111001010000000000000000000111110001101001111000000001000010000101000000111111111010111000100000110100011100111001000001110011100100101011110100011010000000000000000000000000000000000000001000001110010100000000000000000001111100011010011110000000010000100001010000001111111110101110001000001101000111001110010000011100111001001010111101000110100000000000000000000000000000000000000010000011100101000000000000000000010000000001100111100000000100001000010100000011111111101011100010000011010001110011100100000111001110010011101111010001101000000000000000000000000000000000000000100000111001010000000000000000000100000000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100111011110100011010000000000000000000000000000000000000001000001110010100000000000000000001000000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001010111101001110100000000000000000000000000000000000000010000011100101000000000000000000010000000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010010101111010011101000000000000000000000000000000000000000100000111001010000000000000000000110000000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100111011110100111010000000000000000000000000000000000000001000001110010100000000000000000001100000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001110111101001110100000000000000000000000000000000000000010000011100101000000000000000000011000000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010010101111010001101000000100000000000000000000000000000000100000111001010000000000000000000110000000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100101011110100011010000001000000000000000000000000000000001000001110010100000000000000000001010000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001110111101000110100000010000000000000000000000000000000010000011100101000000000000000000010100000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010011101111010001101000000100000000000000000000000000000000100000111001010000000000000000000101000000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100101011110100111010000001000000000000000000000000000000001000001110010100000000000000000001010000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001010111101001110100000010000000000000000000000000000000010000011100101000000000000000000011100000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010011101111010011101000000100000000000000000000000000000000100000111001010000000000000000000111000000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100111011110100111010000001000000000000000000000000000000001000001110010100000000000000000001110000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001010111101000110100000000000000000100000000000000000000010000011100101000000000000000000011100000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010010101111010001101000000000000000001000000000000000000000100000111001010000000000000000000100100000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100111011110100011010000000000000000010000000000000000000001000001110010100000000000000000001001000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001110111101000110100000000000000000100000000000000000000010000011100101000000000000000000010010000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010010101111010011101000000000000000001000000000000000000000100000111001010000000000000000000100100000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100101011110100111010000000000000000010000000000000000000001000001110010100000000000000000001101000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001110111101001110100000000000000000100000000000000000000010000011100101000000000000000000011010000001100111100000000100000000001000000011111111101011100010000011010001110011100100000111001110010011101111010011101000000000000000001000000000000000000000100000111001010000000000000000000110100000011001111000000001000000000010000000111111111010111000100000110100011100111001000001110011100100101011110100011010000001000000000010000000000000000000001000001110010100000000000000000001101000000110011110000000010000000000100000001111111110101110001000001101000111001110010000011100111001001010111101000110100000010000000000100000000000000000000010000011100101000000000000000000010110000001100111100000000100000000001000000011111111101011100010000011010001110011100100000111001110010011101111010001101000000100000000001000000000000000000000100000111001010000000000000000000101100000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100111011110100011010000001000000000010000000000000000000001000001110010100000000000000000001011000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001010111101001110100000010000000000100000000000000000000010000011100101000000000000000000010110000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010010101111010011101000000100000000001000000000000000000000100000111001010000000000000000000111100000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100111011110100111010000001000000000010000000000000000000001000001110010100000000000000000001111000000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001110111101001110100000010000000000100000000000000000000010000011100101000000000000000000011110000001100111100000000100000000001100000011111111101011100010000011010001110011100100000111001110010010101111010001101000000000000000000000000000010000000000100000111001010000000000000000000111100000011001111000000001000000000011000000111111111010111000100000110100011100111001000001110011100100101011110100011010000000000000000000000000000100000000001000001110010100000000000000000001000100000110011110000000010000000000110000001111111110101110001000001101000111001110010000011100111001001110111101000110100000000000000000000000000001000000000010000011100101000000000000000000010001000001100111100000000100000000001100000011111111101001100011000011010001110011100100000111001110010011101111010001101000000000000000000000000000010000000000100000111001010000000000000000000100010000011001111000000001000000000011000000111111111010011000110000110100011100111001000001110011100100101011110100111010000000000000000000000000000100000000001000001110010100000000000000000001000100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011100111001001010111101001110100000000000000000000000000001000000000010000011100101000000000000000000011001000001100111100000000100000000001100000011111111101001100011000011010001100111100100000111001110010011101111010011101000000000000000000000000000010000000000100000111001010000000000000000000110010000011001111000000001000000000011000000111111111010011000110000110100011001111001000001110011100100111011110100111010000000000000000000000000000100000000001000001110010100000000000000000001100100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011100111001001010111101000110100000010000000000000000000001000000000010000011100101000000000000000000011001000001100111100000000100000000001100000011111111101001100011000011010001100111100100000111001110010010101111010001101000000100000000000000000000010000000000100000111001010000000000000000000101010000011001111000000001000000000011000000111111111010011000110000110100011001111001000001110011100100111011110100011010000001000000000000000000000100000000001000001110010100000000000000000001010100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011100111001001110111101000110100000010000000000000000000001000000000010000011100101000000000000000000010101000001100111100000000100000000001100000011111111101001100011000011010001100111100100000111001110010010101111010011101000000100000000000000000000010000000000100000111001010000000000000000000101010000011001111000000001000000000011000000111111111010011000110000110100011001111001000001110011100100101011110100111010000001000000000000000000000100000000001000001110010100000000000000000001110100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011100111001001110111101001110100000010000000000000000000001000000000010000011100101000000000000000000011101000001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010011101111010011101000000100000000000000000000010000000000100000111001010000000000000000000111010000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100101011110100011010000000000000000010000000000100000000001000001110010100000000000000000001110100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001010111101000110100000000000000000100000000001000000000010000011100101000000000000000000010011000001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010011101111010001101000000000000000001000000000010000000000100000111001010000000000000000000100110000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100111011110100011010000000000000000010000000000100000000001000001110010100000000000000000001001100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001010111101001110100000000000000000100000000001000000000010000011100101000000000000000000010011000001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010010101111010011101000000000000000001000000000010000000000100000111001010000000000000000000110110000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100111011110100111010000000000000000010000000000100000000001000001110010100000000000000000001101100000110011110000000010000000000100000001111111110100110001100001101000110011110010000011001111001001110111101001110100000000000000000100000000001000000000010000011100101000000000000000000011011000001100111100000000100000000001000000011111111101001100011000011010001100111100100000110011110010010101111010001101000000100000000001000000000010000000000100000111001010000000000000000000110110000011001111000000001000000000010000000111111111010011000110000110100011001111001000001100111100100101011110100011010000001000000000010000000000100000000001000001110010100000000000000000001011100000110011110000000010000000000100000001111111110100110001100001101000110011110010000011001111001001110111101000110100000010000000000100000000001000000000010000011100101000000000000000000010111000001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010011101111010001101000000100000000001000000000010000000000100000111001010000000000000000000101110000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100101011110100111010000001000000000010000000000100000000001000001110010100000000000000000001011100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001010111101001110100000010000000000100000000001000000000010000011100101000000000000000000011111000001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010011101111010011101000000100000000001000000000010000000000100000111001010000000000000000000111110000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100111011110100111010000001000000000010000000000100000000001000001110010100000000000000000001111100000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001010111101000110100000000000000000000000000000000000000000000111100101000000000000000000011111000001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010010101111010001101000000000000000000000000000000000000000000001111001010000000000000000000100001000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100111011110100011010000000000000000000000000000000000000000000011110010100000000000000000001000010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001110111101000110100000000000000000000000000000000000000000000111100101000000000000000000010000100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010010101111010011101000000000000000000000000000000000000000000001111001010000000000000000000100001000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100101011110100111010000000000000000000000000000000000000000000011110010100000000000000000001100010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001110111101001110100000000000000000000000000000000000000000000111100101000000000000000000011000100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010011101111010011101000000000000000000000000000000000000000000001111001010000000000000000000110001000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100101011110100011010000001000000000000000000000000000000000000011110010100000000000000000001100010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001010111101000110100000010000000000000000000000000000000000000111100101000000000000000000010100100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010011101111010001101000000100000000000000000000000000000000000001111001010000000000000000000101001000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100111011110100011010000001000000000000000000000000000000000000011110010100000000000000000001010010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001010111101001110100000010000000000000000000000000000000000000111100101000000000000000000010100100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011110010010101111010011101000000100000000000000000000000000000000000001111001010000000000000000000111001000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100111100100111011110100111010000001000000000000000000000000000000000000011110010100000000000000000001110010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001111001001110111101001110100000010000000000000000000000000000000000000111100101000000000000000000011100100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011010010010101111010001101000000000000000001000000000000000000000000001111001010000000000000000000111001000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100110100100101011110100011010000000000000000010000000000000000000000000011110010100000000000000000001001010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001101001001110111101000110100000000000000000100000000000000000000000000111100101000000000000000000010010100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011010010011101111010001101000000000000000001000000000000000000000000001111001010000000000000000000100101000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100110100100101011110100111010000000000000000010000000000000000000000000011110010100000000000000000001001010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001101001001010111101001110100000000000000000100000000000000000000000000111100101000000000000000000011010100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011010010011101111010011101000000000000000001000000000000000000000000001111001010000000000000000000110101000011001111000000001000000000010000000111111111010011000110000110100011001111001000001100110100100111011110100111010000000000000000010000000000000000000000000011110010100000000000000000001101010000110011110000000010000000000100000001111111110100110001100001101000110011110010000011001101001001010111101000110100000010000000000100000000000000000000000000111100101000000000000000000011010100001100111100000000100000000001000000011111111101001100011000011010001100111100100000110011010010010101111010001101000000100000000001000000000000000000000000001111001010000000000000000000101101000011001111000000001000000000010000000111111111010011000110000110100011001111001000001100110100100111011110100011010000001000000000010000000000000000000000000011110010100000000000000000001011010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001101001001110111101000110100000010000000000100000000000000000000000000111100101000000000000000000010110100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011010010010101111010011101000000100000000001000000000000000000000000001111001010000000000000000000101101000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100110100100101011110100111010000001000000000010000000000000000000000000011110010100000000000000000001111010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001101001001110111101001110100000010000000000100000000000000000000000000111100101000000000000000000011110100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011010010011101111010011101000000100000000001000000000000000000000000001111001010000000000000000000111101000011001111000000001000000000011000000111111111010011000110000110100011001111001000001100110100100101011110100011010000000000000000000000000000100000000000000011110010100000000000000000001111010000110011110000000010000000000110000001111111110100110001100001101000110011110010000011001101001001010111101000110100000000000000000000000000001000000000000000111100101000000000000000000010001100001100111100000000100000000001100000011111111101001100011000011010001100111100100000110011010010011101111010001101000000000000000000000000000010000000000000001111001010000000000000000000100011000011001111000000001000000000011000000111111111010111000110000110100011001101001000001100110100100111011110100011010000000000000000000000000000100000000000000011110010100000000000000000001000110000110011110000000010000000000110000001111111110101110001100001101000110011010010000011001101001001010111101001110100000000000000000000000000001000000000000000111100101000000000000000000010001100001100111100000000100000000001100000011111111101011100011000011011010011010100100000110011010010010101111010011101000000000000000000000000000010000000000000001111001010000000000000000000110011000011001111000000001000000000011000000111111111010111000110000110110100110101001000001100110100100111011110100111010000000000000000000000000000100000000000000011110010100000000000000000001100110000110011110000000010000000000110000001111111110101110001100001101101001101010010000011001101001001110111101001110100000000000000000000000000001000000000000000111100101000000000000000000011001100001100111100000000100000000001100000011111111101011100011000011011010011010100100000110011010010010101111010001101000000100000000000000000000010000000000000001111001010000000000000000000110011000011001111000000001000000000011000000111111111010111000110000110110100110101001000001100110100100101011110100011010000001000000000000000000000100000000000000011110010100000000000000000001010110000110011110000000010000000000110000001111111110101110001100001101101001101010010000011001101001001110111101000110100000010000000000000000000001000000000000000111100101000000000000000000010101100001100111100000000100000000001100000011111111101011100011000011011010011010100100000110011010010011101111010001101000000100000000000000000000010000000000000001111001010000000000000000000101011000011001111000000001000000000011000000111111111010111000110000110110100110101001000001100110100100101011110100111010000001000000000000000000000100000000000000011110010100000000000000000001010110000110011110000000010000000000110000001111111110101110001100001101101001101010010000011001101001001010111101001110100000010000000000000000000001000000000000000111100101000000000000000000011101100001100111100000000100000000001100000011111111101011100011000011011010011010100100000110011010010011101111010011101000000100000000000000000000010000000000000001111001010000000000000000000111011000011001111000000001000000000011000000111111111010111000110000110110100110101001001010011010100100111011110100111010000001000000000000000000000100000000000000011110010100000000000000000001110110000110011110000000010000000000110000001111111110101110001100001101101001101010010010100110101001001010111101000110100000000000000000100000000001000000000000000111100101000000000000000000011101100001100111100000000100000000001100000011111111101011100011000011011010011010100100101001101010010010101111010001101000000000000000001000000000010000000000000001111001010000000000000000000100111000011001111000000001000000000011000000111111111010111000110000110110100110101001001010011010100100111011110100011010000000000000000010000000000100000000000000011110010100000000000000000001001110000110011110000000010000000000110000001111111110101110001100001101101001101010010010100110101001001110111101000110100000000000000000100000000001000000000000000111100101000000000000000000010011100001100111100000000100000000001100000011111111101011100011000011011010011010100100101001101010010010101111010011101000000000000000001000000000010000000000000001111001010000000000000000000100111000011001111000000001000000000011000000111111111010111000110000110110100110101001001010011010100100101011110100111010000000000000000010000000000100000000000000011110010100000000000000000001101110000110011110000000010000000000110000001111111110101110001100001101101001101010010010100110101001001110111101001110100000000000000000100000000001000000000000000111100101000000000000000000011011100001100111100000000100000000001000000011111111101011100011000011011010011010100100101001101010010011101111010011101000000000000000001000000000010000000000000001111001010000000000000000000110111000011001111000000001000000000010000000111111111010111000110000110110100110101001001010011010100100101011110100011010000001000000000010000000000100000000000000011110010100000000000000000001101110000110011110000000010000000000100000001111111110101110001100001101101001101010010010100110101001001010111101000110100000010000000000100000000001000000000000000111100101000000000000000000010111100001100111100000000100000000001000000011111111101011100011000011011010011010100100101001101010010011101111010001101000000100000000001000000000010000000000000001111001010000000000000000000101111000011001111000000001000000000011000000111111111010111000110000110110100110101001001010011010100100111011110100011010000001000000000010000000000100000000000000011110010100000000000000000001011110000110011110000000010000000000110000001111111110101110001100001101101001101010010010100110101001001010111101001110100000010000000000100000000001000000000000000111100101000000000000000000010111100001100111100000000100000000001100000011111111101011100011000011011010011010100100101001101010010010101111010011101000000100000000001000000000010000000000000001111001010000000000000000000111111000011001111000000001000000000011000000111111111010111000110000110110100110101001001010011010100100111011110100111010000001000000000010000000000100000000000000011110010100000000000000000001111110000110011110000000010000000000110000001111111110101110001100001101101001101010010010100110101001001110111101001110100000010000000000100000000001000000000000000111100101000000000000000000011111100001100111100000000100000000001100000011111111101011100011000011011010011010100100101001101010010010101111010001101000000000000000000000000000000000000000100001111001010000000000000000000111111000011001111000000001000000000011000000111111111010111000110000110110100110101001001010011010100100101011110100011010000000000000000000000000000000000000001000011110010100000000000000000001000001000110011110000000010000000000110000001111111110101110001100001101101001101010010010100110101001001110111101000110100000000000000000000000000000000000000010000111100101000000000000000000010000010001100111100000000100000000001100000011111111101001100010100011011010011010100100101001101010010011101111010001101000000000000000000000000000000000000000100001111001010000000000000000000100000100011001111000000001000000000011000000111111111010011000101000110110100110101001001010011010100100101011110100111010000000000000000000000000000000000000001000011110010100000000000000000001000001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001010111101001110100000000000000000000000000000000000000010000111100101000000000000000000011000010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010011101111010011101000000000000000000000000000000000000000100001111001010000000000000000000110000100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100111011110100111010000000000000000000000000000000000000001000011110010100000000000000000001100001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001010111101000110100000010000000000000000000000000000000010000111100101000000000000000000011000010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010010101111010001101000000100000000000000000000000000000000100001111001010000000000000000000101000100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100111011110100011010000001000000000000000000000000000000001000011110010100000000000000000001010001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001110111101000110100000010000000000000000000000000000000010000111100101000000000000000000010100010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010010101111010011101000000100000000000000000000000000000000100001111001010000000000000000000101000100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100101011110100111010000001000000000000000000000000000000001000011110010100000000000000000001110001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001110111101001110100000010000000000000000000000000000000010000111100101000000000000000000011100010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010011101111010011101000000100000000000000000000000000000000100001111001010000000000000000000111000100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100101011110100011010000000000000000010000000000000000000001000011110010100000000000000000001110001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001010111101000110100000000000000000100000000000000000000010000111100101000000000000000000010010010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010011101111010001101000000000000000001000000000000000000000100001111001010000000000000000000100100100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100111011110100011010000000000000000010000000000000000000001000011110010100000000000000000001001001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001010111101001110100000000000000000100000000000000000000010000111100101000000000000000000010010010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010010101111010011101000000000000000001000000000000000000000100001111001010000000000000000000110100100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100111011110100111010000000000000000010000000000000000000001000011110010100000000000000000001101001000110011110000000010000000000100000001111111110100110001010001101000000001010010010100110101001001110111101001110100000000000000000100000000000000000000010000111100101000000000000000000011010010001100111100000000100000000001000000011111111101001100010100011010000000010100100101001101010010010101111010001101000000100000000001000000000000000000000100001111001010000000000000000000110100100011001111000000001000000000010000000111111111010011000101000110100000000101001001010011010100100101011110100011010000001000000000010000000000000000000001000011110010100000000000000000001011001000110011110000000010000000000100000001111111110100110001010001101000000001010010010100110101001001110111101000110100000010000000000100000000000000000000010000111100101000000000000000000010110010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010011101111010001101000000100000000001000000000000000000000100001111001010000000000000000000101100100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100101011110100111010000001000000000010000000000000000000001000011110010100000000000000000001011001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001010111101001110100000010000000000100000000000000000000010000111100101000000000000000000011110010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010011101111010011101000000100000000001000000000000000000000100001111001010000000000000000000111100100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100111011110100111010000001000000000010000000000000000000001000011110010100000000000000000001111001000110011110000000010000000000110000001111111110100110001010001101000000001010010010100110101001001010111101000110100000000000000000000000000001000000000010000111100101000000000000000000011110010001100111100000000100000000001100000011111111101001100010100011010000000010100100101001101010010010101111010001101000000000000000000000000000010000000000100001111001010000000000000000000100010100011001111000000001000000000011000000111111111010011000101000110100000000101001001010011010100100111011110100011010000000000000000000000000000100000000001000011110010100000000000000000001000101000110011110000000010000000000110000001111111110100000000000000000000000001010010010100110101001001110111101000110100000000000000000000000000001000000000010000111100101000000000000000000010001010001100111100000000100000000001100000011111111101000000000000000000000000010100100101001101010010010101111010011101000000000000000000000000000010000000000100001111001010000000000000000000100010100011001111000000001000000000011000000111111111010000000000000000000011110101001001010011010100100101011110100111010000000000000000000000000000100000000001000011110010100000000000000000001100101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110101001001110111101001110100000000000000000000000000001000000000010000111100101000000000000000000011001010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001101010010011101111010011101000000000000000000000000000010000000000100001111001010000000000000000000110010100011001111000000001000000000011000000111111111010000000000000000000101010101001001010011010100100101011110100011010000001000000000000000000000100000000001000011110010100000000000000000001100101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110101001001010111101000110100000010000000000000000000001000000000010000111100101000000000000000000010101010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001101010010011101111010001101000000100000000000000000000010000000000100001111001010000000000000000000101010100011001111000000001000000000011000000111111111010000000000000000000101010101001001010011010100100111011110100011010000001000000000000000000000100000000001000011110010100000000000000000001010101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110101001001010111101001110100000010000000000000000000001000000000010000111100101000000000000000000010101010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001101010010010101111010011101000000100000000000000000000010000000000100001111001010000000000000000000111010100011001111000000001000000000011000000111111111010000000000000000000101010101001001010011010100100111011110100111010000001000000000000000000000100000000001000011110010100000000000000000001110101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110101001001110111101001110100000010000000000000000000001000000000010000111100101000000000000000000011101010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001100010010010101111010001101000000000000000001000000000010000000000100001111001010000000000000000000111010100011001111000000001000000000011000000111111111010000000000000000000101010101001001010011000100100101011110100011010000000000000000010000000000100000000001000011110010100000000000000000001001101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110001001001110111101000110100000000000000000100000000001000000000010000111100101000000000000000000010011010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001100010010011101111010001101000000000000000001000000000010000000000100001111001010000000000000000000100110100011001111000000001000000000011000000111111111010000000000000000000101010101001001010011000100100101011110100111010000000000000000010000000000100000000001000011110010100000000000000000001001101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110001001001010111101001110100000000000000000100000000001000000000010000111100101000000000000000000011011010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001100010010011101111010011101000000000000000001000000000010000000000100001111001010000000000000000000110110100011001111000000001000000000010000000111111111010000000000000000000101010101001001010011000100100111011110100111010000000000000000010000000000100000000001000011110010100000000000000000001101101000110011110000000010000000000100000001111111110100000000000000000001010101010010010100110001001001010111101000110100000010000000000100000000001000000000010000111100101000000000000000000011011010001100111100000000100000000001000000011111111101000000000000000000010101010100100101001100010010010101111010001101000000100000000001000000000010000000000100001111001010000000000000000000101110100011001111000000001000000000010000000111111111010000000000000000000101010101001001010011000100100111011110100011010000001000000000010000000000100000000001000011110010100000000000000000001011101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110001001001110111101000110100000010000000000100000000001000000000010000111100101000000000000000000010111010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001100010010010101111010011101000000100000000001000000000010000000000100001111001010000000000000000000101110100011001111000000001000000000011000000111111111010000000000000000000101010101001001010011000100100101011110100111010000001000000000010000000000100000000001000011110010100000000000000000001111101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110001001001110111101001110100000010000000000100000000001000000000010000111100101000000000000000000011111010001100111100000000100000000001100000011111111101000000000000000000010101010100100101001100010010011101111010011101000000100000000001000000000010000000000100001111001010000000000000000000111110100011001111000000001000000000011000000111111111010000000000000000000101010101001001010011000100100100111110100011010000000000000000000000000000000000000000000000000010100000000000000000001111101000110011110000000010000000000110000001111111110100000000000000000001010101010010010100110001001001001111101000110100000000000000000000000000000000000000000000000000101000000000000000000010000110001100111100000000100000000001100000011111111101000000000000000000010101010100100101001100010010011011111010001101000000000000000000000000000000000000000000000000001010000000000000000000100001100011001111000000001000000000011000000111111111010111000101000110100101010001001001010011000100100110111110100011010000000000000000000000000000000000000000000000000010100000000000000000001000011000110011110000000010000000000110000001111111110101110001010001101001010100010010010100110001001001001111101001110100000000000000000000000000000000000000000000000000101000000000000000000010000110001100111100000000100000000001100000011111111101011100010100011010000000000100100101001100010010010011111010011101000000000000000000000000000000000000000000000000001010000000000000000000110001100011001111000000001000000000011000000111111111010111000101000110110100001001001001010011000100100110111110100111010000000000000000000000000000000000000000000000000010100000000000000000001100011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100110001001001101111101001110100000000000000000000000000000000000000000000000000101000000000000000000011000110001100111100000000100000000001100000011111111101011100010100011011010000100100100101001100010010010011111010001101000000100000000000000000000000000000000000000000001010000000000000000000110001100011001111000000001000000000011000000111111111010111000101000110110100001001001001010011000100100100111110100011010000001000000000000000000000000000000000000000000010100000000000000000001010011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100110001001001101111101000110100000010000000000000000000000000000000000000000000101000000000000000000010100110001100111100000000100000000001100000011111111101011100010100011011010000100100100101001100010010011011111010001101000000100000000000000000000000000000000000000000001010000000000000000000101001100011001111000000001000000000011000000111111111010111000101000110110100001001001001010011000100100100111110100111010000001000000000000000000000000000000000000000000010100000000000000000001010011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100110001001001001111101001110100000010000000000000000000000000000000000000000000101000000000000000000011100110001100111100000000100000000001100000011111111101011100010100011011010000100100100101001100010010011011111010011101000000100000000000000000000000000000000000000000001010000000000000000000111001100011001111000000001000000000011000000111111111010111000101000110110100001001001001010000100100100110111110100111010000001000000000000000000000000000000000000000000010100000000000000000001110011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100001001001001001111101000110100000000000000000100000000000000000000000000000000101000000000000000000011100110001100111100000000100000000001100000011111111101011100010100011011010000100100100101000010010010010011111010001101000000000000000001000000000000000000000000000000001010000000000000000000100101100011001111000000001000000000011000000111111111010111000101000110110100001001001001010000100100100110111110100011010000000000000000010000000000000000000000000000000010100000000000000000001001011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100001001001001101111101000110100000000000000000100000000000000000000000000000000101000000000000000000010010110001100111100000000100000000001100000011111111101011100010100011011010000100100100101000010010010010011111010011101000000000000000001000000000000000000000000000000001010000000000000000000100101100011001111000000001000000000011000000111111111010111000101000110110100001001001001010000100100100100111110100111010000000000000000010000000000000000000000000000000010100000000000000000001101011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100001001001001101111101001110100000000000000000100000000000000000000000000000000101000000000000000000011010110001100111100000000100000000001000000011111111101011100010100011011010000100100100101000010010010011011111010011101000000000000000001000000000000000000000000000000001010000000000000000000110101100011001111000000001000000000010000000111111111010111000101000110110100001001001001010000100100100100111110100011010000001000000000010000000000000000000000000000000010100000000000000000001101011000110011110000000010000000000100000001111111110101110001010001101101000010010010010100001001001001001111101000110100000010000000000100000000000000000000000000000000101000000000000000000010110110001100111100000000100000000001000000011111111101011100010100011011010000100100100101000010010010011011111010001101000000100000000001000000000000000000000000000000001010000000000000000000101101100011001111000000001000000000011000000111111111010111000101000110110100001001001001010000100100100110111110100011010000001000000000010000000000000000000000000000000010100000000000000000001011011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100001001001001001111101001110100000010000000000100000000000000000000000000000000101000000000000000000010110110001100111100000000100000000001100000011111111101011100010100011011010000100100100101000010010010010011111010011101000000100000000001000000000000000000000000000000001010000000000000000000111101100011001111000000001000000000011000000111111111010111000101000110110100001001001001010000100100100110111110100111010000001000000000010000000000000000000000000000000010100000000000000000001111011000110011110000000010000000000110000001111111110101110001010001101101000010010010010100001001001001101111101001110100000010000000000100000000000000000000000000000000101000000000000000000011110110001100111100000000100000000001100000011111111101011100010100011011010000100100100101000010010010010011111010001101000000000000000000000000000010000000000000000000001010000000000000000000111101100011001111000000001000000000011000000111111111010111000101000110110100001001001001010000100100100100111110100011010000000000000000000000000000100000000000000000000010100000000000000000001000111000110011110000000010000000000110000001111111110101110001010001101101000010010010010100001001001001101111101000110100000000000000000000000000001000000000000000000000101000000000000000000010001110001100111100000000100000000001100000011111111101001100011100011011010000100100100101000010010010011011111010001101000000000000000000000000000010000000000000000000001010000000000000000000100011100011001111000000001000000000011000000111111111010011000111000110110100001001001001010000100100100100111110100111010000000000000000000000000000100000000000000000000010100000000000000000001000111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001001111101001110100000000000000000000000000001000000000000000000000101000000000000000000011001110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010011011111010011101000000000000000000000000000010000000000000000000001010000000000000000000110011100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100110111110100111010000000000000000000000000000100000000000000000000010100000000000000000001100111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001001111101000110100000010000000000000000000001000000000000000000000101000000000000000000011001110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010010011111010001101000000100000000000000000000010000000000000000000001010000000000000000000101011100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100110111110100011010000001000000000000000000000100000000000000000000010100000000000000000001010111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001101111101000110100000010000000000000000000001000000000000000000000101000000000000000000010101110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010010011111010011101000000100000000000000000000010000000000000000000001010000000000000000000101011100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100100111110100111010000001000000000000000000000100000000000000000000010100000000000000000001110111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001101111101001110100000010000000000000000000001000000000000000000000101000000000000000000011101110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010011011111010011101000000100000000000000000000010000000000000000000001010000000000000000000111011100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100100111110100011010000000000000000010000000000100000000000000000000010100000000000000000001110111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001001111101000110100000000000000000100000000001000000000000000000000101000000000000000000010011110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010011011111010001101000000000000000001000000000010000000000000000000001010000000000000000000100111100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100110111110100011010000000000000000010000000000100000000000000000000010100000000000000000001001111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001001111101001110100000000000000000100000000001000000000000000000000101000000000000000000010011110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010010011111010011101000000000000000001000000000010000000000000000000001010000000000000000000110111100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100110111110100111010000000000000000010000000000100000000000000000000010100000000000000000001101111000110011110000000010000000000100000001111111110100110001110001101000000000010010010100001001001001101111101001110100000000000000000100000000001000000000000000000000101000000000000000000011011110001100111100000000100000000001000000011111111101001100011100011010000000000100100101000010010010010011111010001101000000100000000001000000000010000000000000000000001010000000000000000000110111100011001111000000001000000000010000000111111111010011000111000110100000000001001001010000100100100100111110100011010000001000000000010000000000100000000000000000000010100000000000000000001011111000110011110000000010000000000100000001111111110100110001110001101000000000010010010100001001001001101111101000110100000010000000000100000000001000000000000000000000101000000000000000000010111110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010011011111010001101000000100000000001000000000010000000000000000000001010000000000000000000101111100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100100111110100111010000001000000000010000000000100000000000000000000010100000000000000000001011111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001001111101001110100000010000000000100000000001000000000000000000000101000000000000000000011111110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010011011111010011101000000100000000001000000000010000000000000000000001010000000000000000000111111100011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100110111110100111010000001000000000010000000000100000000000000000000010100000000000000000001111111000110011110000000010000000000110000001111111110100110001110001101000000000010010010100001001001001001111101000110100000000000000000000000000000000000000010000000000101000000000000000000011111110001100111100000000100000000001100000011111111101001100011100011010000000000100100101000010010010010011111010001101000000000000000000000000000000000000000100000000001010000000000000000000100000010011001111000000001000000000011000000111111111010011000111000110100000000001001001010000100100100110111110100011010000000000000000000000000000000000000001000000000010100000000000000000001000000100110011110000000010000000000110000001111111110100000000000000000000000000001101010100001001001001101111101000110100000000000000000000000000000000000000010000000000101000000000000000000010000001001100111100000000100000000001100000011111111111000000000000000000000000000011010101000010010010010011111010011101000000000000000000000000000000000000000100000000001010000000000000000000100000010011001111000000001000000000011000000111111111110000000000000000000011010000110101010000100100100100111110100111010000000000000000000000000000000000000001000000000010100000000000000000001100000100110011110000000010000000000110000001111111111100000000000000000000110100001101010100001001001001101111101001110100000000000000000000000000000000000000010000000000101000000000000000000011000001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010011011111010011101000000000000000000000000000000000000000100000000001010000000000000000000110000010011001111000000001000000000011000000111111111110000000000000000000011010000110101010000100100100100111110100011010000001000000000000000000000000000000001000000000010100000000000000000001100000100110011110000000010000000000110000001111111111100000000000000000000110100001101010100001001001001001111101000110100000010000000000000000000000000000000010000000000101000000000000000000010100001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010011011111010001101000000100000000000000000000000000000000100000000001010000000000000000000101000010011001111000000001000000000011000000111111111110000000000000000000011010000110101010000100100100110111110100011010000001000000000000000000000000000000001000000000010100000000000000000001010000100110011110000000010000000000110000001111111111100000000000000000000110100001101010100001001001001001111101001110100000010000000000000000000000000000000010000000000101000000000000000000010100001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010010011111010011101000000100000000000000000000000000000000100000000001010000000000000000000111000010011001111000000001000000000011000000111111111110000000000000000000011010000110101010000100100100110111110100111010000001000000000000000000000000000000001000000000010100000000000000000001110000100110011110000000010000000000110000001111111111100000000000000000000110100001101010100001001001001101111101001110100000010000000000000000000000000000000010000000000101000000000000000000011100001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010010011111010001101000000000000000001000000000000000000000100000000001010000000000000000000111000010011001111000000001000000000011000000111111111110000000000000000000011010000110101010000100100100100111110100011010000000000000000010000000000000000000001000000000010100000000000000000001001000100110011110000000010000000000110000001111111111000000000000000000000110100001101010100001001001001101111101000110100000000000000000100000000000000000000010000000000101000000000000000000010010001001100111100000000100000000001100000011111111110000000000000000000001101000011010101000010010010011011111010001101000000000000000001000000000000000000000100000000001010000000000000000000100100010011001111000000001000000000011000000111111111100000000000000000000011010000110101010000100100100100111110100111010000000000000000010000000000000000000001000000000010100000000000000000001001000100110011110000000010000000000110000001111111111000000000000000000000110100001101010100001001001001001111101001110100000000000000000100000000000000000000010000000000101000000000000000000011010001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010011011111010011101000000000000000001000000000000000000000100000000001010000000000000000000110100010011001111000000001000000000010000000111111111110000000000000000000011010000110101010000100100100110111110100111010000000000000000010000000000000000000001000000000010100000000000000000001101000100110011110000000010000000000100000001111111111100000000000000000000110100001101010100001001001001001111101000110100000010000000000100000000000000000000010000000000101000000000000000000011010001001100111100000000100000000001000000011111111111000000000000000000001101000011010101000010010010010011111010001101000000100000000001000000000000000000000100000000001010000000000000000000101100010011001111000000001000000000010000000111111111110000000000000000000011010000110101010000100100100110111110100011010000001000000000010000000000000000000001000000000010100000000000000000001011000100110011110000000010000000000110000001111111111100000000000000000000110100001101010100001001001001101111101000110100000010000000000100000000000000000000010000000000101000000000000000000010110001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010010011111010011101000000100000000001000000000000000000000100000000001010000000000000000000101100010011001111000000001000000000011000000111111111110000000000000000000011010000110101010000100100100100111110100111010000001000000000010000000000000000000001000000000010100000000000000000001111000100110011110000000010000000000110000001111111111100000000000000000000110100001101010100001001001001101111101001110100000010000000000100000000000000000000010000000000101000000000000000000011110001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010011011111010011101000000100000000001000000000000000000000100000000001010000000000000000000111100010011001111000000001000000000011000000111111111110000000000000000000011010000110101010000100100100100111110100011010000000000000000000000000000100000000001000000000010100000000000000000001111000100110011110000000010000000000110000001111111111100000000000000000000110100001101010100001001001001001111101000110100000000000000000000000000001000000000010000000000101000000000000000000010001001001100111100000000100000000001100000011111111111000000000000000000001101000011010101000010010010011011111010001101000000000000000000000000000010000000000100000000001010000000000000000000100010010011001111000000001000000000011000000111111111110111000111000110100011010001001001010000100100100110111110100011010000000000000000000000000000100000000001000000000010100000000000000000001000100100110011110000000010000000000110000001111111110101110001110001101111111110010010010100001001001001001111101001110100000000000000000000000000001000000000010000000000101000000000000000000010001001001100111100000000100000000001100000011111111101011100011100011010000000000100100101000010010010010011111010011101000000000000000000000000000010000000000100000000001010000000000000000000110010010011001111000000001000000000011000000111111111010111000111000110110010101001001001010000100100100110111110100111010000000000000000000000000000100000000001000000000010100000000000000000001100100100110011110000000010000000000110000001111111110101110001110001101100101010010010010100001001001001101111101001110100000000000000000000000000001000000000010000000000101000000000000000000011001001001100111100000000100000000001100000011111111101011100011100011011001010100100100101000010010010010011111010001101000000100000000000000000000010000000000100000000001010000000000000000000110010010011001111000000001000000000011000000111111111010111000111000110110010101001001001010000100100100100111110100011010000001000000000000000000000100000000001000000000010100000000000000000001010100100110011110000000010000000000110000001111111110101110001110001101100101010010010010100001001001001101111101000110100000010000000000000000000001000000000010000000000101000000000000000000010101001001100111100000000100000000001100000011111111101011100011100011011001010100100100101000010010010011011111010001101000000100000000000000000000010000000000100000000001010000000000000000000101010010011001111000000001000000000011000000111111111010111000111000110110010101001001001010000100100100100111110100111010000001000000000000000000000100000000001000000000010100000000000000000001010100100110011110000000010000000000110000001111111110101110001110001101100101010010010010100001001001001001111101001110100000010000000000000000000001000000000010000000000101000000000000000000011101001001100111100000000100000000001100000011111111101011100011100011011001010100100100101000010010010011011111010011101000000100000000000000000000010000000000100000000001010000000000000000000111010010011001111000000001000000000011000000111111111010111000111000110110010101001001001001010100100100110111110100111010000001000000000000000000000100000000001000000000010100000000000000000001110100100110011110000000010000000000110000001111111110101110001110001101100101010010010010010101001001001001111101000110100000000000000000100000000001000000000010000000000101000000000000000000011101001001100111100000000100000000001100000011111111101011100011100011011001010100100100100101010010010010011111010001101000000000000000001000000000010000000000100000000001010000000000000000000100110010011001111000000001000000000011000000111111111010111000111000110110010101001001001001010100100100110111110100011010000000000000000010000000000100000000001000000000010100000000000000000001001100100110011110000000010000000000110000001111111110101110001110001101100101010010010010010101001001001101111101000110100000000000000000100000000001000000000010000000000101000000000000000000010011001001100111100000000100000000001100000011111111101011100011100011011001010100100100100101010010010010011111010011101000000000000000001000000000010000000000100000000001010000000000000000000100110010011001111000000001000000000011000000111111111010111000111000110110010101001001001001010100100100100111110100111010000000000000000010000000000100000000001000000000010100000000000000000001101100100110011110000000010000000000110000001111111110101110001110001101100101010010010010010101001001001101111101001110100000000000000000100000000001000000000010000000000101000000000000000000011011001001100111100000000100000000001000000011111111101011100011100011011001010100100100100101010010010011011111010011101000000000000000001000000000010000000000100000000001010000000000000000000110110010011001111000000001000000000010000000111111111010111000111000110110010101001001001001010100100100100111110100011010000001000000000010000000000100000000001000000000010100000000000000000001101100100110011110000000010000000000100000001111111110101110001110001101100101010010010010010101001001001001111101000110100000010000000000100000000001000000000010000000000101000000000000000000010111001001100111100000000100000000001000000011111111101011100011100011011001010100100100100101010010010011011111010001101000000100000000001000000000010000000000100000000001010000000000000000000101110010011001111000000001000000000011000000111111111010111000111000110110010101001001001001010100100100110111110100011010000001000000000010000000000100000000001000000000010100000000000000000001011100100110011110000000010000000000110000001111111110101110001110001101100101010010010010010101001001001001111101001110100000010000000000100000000001000000000010000000000101000000000000000000010111001001100111100000000100000000001100000011111111101011100011100011011001010100100100100101010010010010011111010011101000000100000000001000000000010000000000100000000001010000000000000000000111110010011001111000000001000000000011000000111111111010111000111000110110010101001001001001010100100100110111110100111010000001000000000010000000000100000000001000000000010100000000000000000001111100100110011110000000010000000000110000001111111110101110001110001101100101010010010010010101001001001101111101001110100000010000000000100000000001000000000010000000000101000000000000000000011111001001100111100000000100000000001100000011111111101011100011100011011001010100100100100101010010010010011111010001101000000000000000000000000000000000000000000001000001010000000000000000000111110010011001111000000001000000000011000000111111111010111000111000110110010101001001001001010100100100100111110100011010000000000000000000000000000000000000000000010000010100000000000000000001000010100110011110000000010000000000110000001111111110101110001110001101100101010010010010010101001001001101111101000110100000000000000000000000000000000000000000000100000101000000000000000000010000101001100111100000000100000000001100000011111111101001100010010011011001010100100100100101010010010011011111010001101000000000000000000000000000000000000000000001000001010000000000000000000100001010011001111000000001000000000011000000111111111010011000100100110110010101001001001001010100100100100111110100111010000000000000000000000000000000000000000000010000010100000000000000000001000010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101001001001001111101001110100000000000000000000000000000000000000000000100000101000000000000000000011000101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010010010011011111010011101000000000000000000000000000000000000000000001000001010000000000000000000110001010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010100100100110111110100111010000000000000000000000000000000000000000000010000010100000000000000000001100010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101001001001001111101000110100000010000000000000000000000000000000000000100000101000000000000000000011000101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010010010010011111010001101000000100000000000000000000000000000000000001000001010000000000000000000101001010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010100100100110111110100011010000001000000000000000000000000000000000000010000010100000000000000000001010010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101001001001101111101000110100000010000000000000000000000000000000000000100000101000000000000000000010100101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010010010010011111010011101000000100000000000000000000000000000000000001000001010000000000000000000101001010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010100100100100111110100111010000001000000000000000000000000000000000000010000010100000000000000000001110010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101001001001101111101001110100000010000000000000000000000000000000000000100000101000000000000000000011100101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010010010011011111010011101000000100000000000000000000000000000000000001000001010000000000000000000111001010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010101100100100111110100011010000000000000000010000000000000000000000000010000010100000000000000000001110010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101011001001001111101000110100000000000000000100000000000000000000000000100000101000000000000000000010010101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010110010011011111010001101000000000000000001000000000000000000000000001000001010000000000000000000100101010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010101100100110111110100011010000000000000000010000000000000000000000000010000010100000000000000000001001010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101011001001001111101001110100000000000000000100000000000000000000000000100000101000000000000000000010010101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010110010010011111010011101000000000000000001000000000000000000000000001000001010000000000000000000110101010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010101100100110111110100111010000000000000000010000000000000000000000000010000010100000000000000000001101010100110011110000000010000000000100000001111111110100110001001001101000000000010010010010101011001001101111101001110100000000000000000100000000000000000000000000100000101000000000000000000011010101001100111100000000100000000001000000011111111101001100010010011010000000000100100100101010110010010011111010001101000000100000000001000000000000000000000000001000001010000000000000000000110101010011001111000000001000000000010000000111111111010011000100100110100000000001001001001010101100100100111110100011010000001000000000010000000000000000000000000010000010100000000000000000001011010100110011110000000010000000000100000001111111110100110001001001101000000000010010010010101011001001101111101000110100000010000000000100000000000000000000000000100000101000000000000000000010110101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010110010011011111010001101000000100000000001000000000000000000000000001000001010000000000000000000101101010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010101100100100111110100111010000001000000000010000000000000000000000000010000010100000000000000000001011010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101011001001001111101001110100000010000000000100000000000000000000000000100000101000000000000000000011110101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010110010011011111010011101000000100000000001000000000000000000000000001000001010000000000000000000111101010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010101100100110111110100111010000001000000000010000000000000000000000000010000010100000000000000000001111010100110011110000000010000000000110000001111111110100110001001001101000000000010010010010101011001001001111101000110100000000000000000000000000001000000000000000100000101000000000000000000011110101001100111100000000100000000001100000011111111101001100010010011010000000000100100100101010110010010011111010001101000000000000000000000000000010000000000000001000001010000000000000000000100011010011001111000000001000000000011000000111111111010011000100100110100000000001001001001010101100100110111110100011010000000000000000000000000000100000000000000010000010100000000000000000001000110100110011110000000010000000000110000001111111110101110001001001101000000000110010010010101011001001101111101000110100000000000000000000000000001000000000000000100000101000000000000000000010001101001100111100000000100000000001100000011111111101011100010010011010000000001100100100101010110010010011111010011101000000000000000000000000000010000000000000001000001010000000000000000000100011010011001111000000001000000000011000000111111111010111000100100110110100110011001001001010101100100100111110100111010000000000000000000000000000100000000000000010000010100000000000000000001100110100110011110000000010000000000110000001111111110101110001001001101101001100110010010010101011001001101111101001110100000000000000000000000000001000000000000000100000101000000000000000000011001101001100111100000000100000000001100000011111111101011100010010011011010011001100100100101010110010011011111010011101000000000000000000000000000010000000000000001000001010000000000000000000110011010011001111000000001000000000011000000111111111010111000100100110110100110011001001001010101100100100111110100011010000001000000000000000000000100000000000000010000010100000000000000000001100110100110011110000000010000000000110000001111111110101110001001001101101001100110010010010101011001001001111101000110100000010000000000000000000001000000000000000100000101000000000000000000010101101001100111100000000100000000001100000011111111101011100010010011011010011001100100100101010110010011011111010001101000000100000000000000000000010000000000000001000001010000000000000000000101011010011001111000000001000000000011000000111111111010111000100100110110100110011001001001010101100100110111110100011010000001000000000000000000000100000000000000010000010100000000000000000001010110100110011110000000010000000000110000001111111110101110001001001101101001100110010010010101011001001001111101001110100000010000000000000000000001000000000000000100000101000000000000000000010101101001100111100000000100000000001100000011111111101011100010010011011010011001100100100101010110010010011111010011101000000100000000000000000000010000000000000001000001010000000000000000000111011010011001111000000001000000000011000000111111111010111000100100110110100110011001001001010101100100110111110100111010000001000000000000000000000100000000000000010000010100000000000000000001110110100110011110000000010000000000110000001111111110101110001001001101101001100110010010100110011001001101111101001110100000010000000000000000000001000000000000000100000101000000000000000000011101101001100111100000000100000000001100000011111111101011100010010011011010011001100100101001100110010010011111010001101000000000000000001000000000010000000000000001000001010000000000000000000111011010011001111000000001000000000011000000111111111010111000100100110110100110011001001010011001100100100111110100011010000000000000000010000000000100000000000000010000010100000000000000000001001110100110011110000000010000000000110000001111111110101110001001001101101001100110010010100110011001001101111101000110100000000000000000100000000001000000000000000100000101000000000000000000010011101001100111100000000100000000001100000011111111101011100010010011011010011001100100101001100110010011011111010001101000000000000000001000000000010000000000000001000001010000000000000000000100111010011001111000000001000000000011000000111111111010111000100100110110100110011001001010011001100100100111110100111010000000000000000010000000000100000000000000010000010100000000000000000001001110100110011110000000010000000000110000001111111110101110001001001101101001100110010010100110011001001001111101001110100000000000000000100000000001000000000000000100000101000000000000000000011011101001100111100000000100000000001100000011111111101011100010010011011010011001100100101001100110010011011111010011101000000000000000001000000000010000000000000001000001010000000000000000000110111010011001111000000001000000000010000000111111111010111000100100110110100110011001001010011001100100110111110100111010000000000000000010000000000100000000000000010000010100000000000000000001101110100110011110000000010000000000100000001111111110101110001001001101101001100110010010100110011001001001111101000110100000010000000000100000000001000000000000000100000101000000000000000000011011101001100111100000000100000000001000000011111111101011100010010011011010011001100100101001100110010010011111010001101000000100000000001000000000010000000000000001000001010000000000000000000101111010011001111000000001000000000010000000111111111010111000100100110110100110011001001010011001100100110111110100011010000001000000000010000000000100000000000000010000010100000000000000000001011110100110011110000000010000000000110000001111111110101110001001001101101001100110010010100110011001001101111101000110100000010000000000100000000001000000000000000100000101000000000000000000010111101001100111100000000100000000001100000011111111101011100010010011011010011001100100101001100110010010011111010011101000000100000000001000000000010000000000000001000001010000000000000000000101111010011001111000000001000000000011000000111111111010111000100100110110100110011001001010011001100100100111110100111010000001000000000010000000000100000000000000010000010100000000000000000001111110100110011110000000010000000000110000001111111110101110001001001101101001100110010010100110011001001101111101001110100000010000000000100000000001000000000000000100000101000000000000000000011111101001100111100000000100000000001100000011111111101011100010010011011010011001100100101001100110010011011111010011101000000100000000001000000000010000000000000001000001010000000000000000000111111010011001111000000001000000000011000000111111111010111000100100110110100110011001001010011001100100100111110100011010000000000000000000000000000000000000001000010000010100000000000000000001111110100110011110000000010000000000110000001111111110101110001001001101101001100110010010100110011001001001111101000110100000000000000000000000000000000000000010000100000101000000000000000000010000011001100111100000000100000000001100000011111111101011100010010011011010011001100100101001100110010011011111010001101000000000000000000000000000000000000000100001000001010000000000000000000100000110011001111000000001000000000011000000111111111010011000110100110110100110011001001010011001100100110111110100011010000000000000000000000000000000000000001000010000010100000000000000000001000001100110011110000000010000000000110000001111111110100110001101001101101001100110010010100110011001001001111101001110100000000000000000000000000000000000000010000100000101000000000000000000010000011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010010011111010011101000000000000000000000000000000000000000100001000001010000000000000000000110000110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100110111110100111010000000000000000000000000000000000000001000010000010100000000000000000001100001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001101111101001110100000000000000000000000000000000000000010000100000101000000000000000000011000011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010010011111010001101000000100000000000000000000000000000000100001000001010000000000000000000110000110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100100111110100011010000001000000000000000000000000000000001000010000010100000000000000000001010001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001101111101000110100000010000000000000000000000000000000010000100000101000000000000000000010100011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010011011111010001101000000100000000000000000000000000000000100001000001010000000000000000000101000110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100100111110100111010000001000000000000000000000000000000001000010000010100000000000000000001010001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001001111101001110100000010000000000000000000000000000000010000100000101000000000000000000011100011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010011011111010011101000000100000000000000000000000000000000100001000001010000000000000000000111000110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100110111110100111010000001000000000000000000000000000000001000010000010100000000000000000001110001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001001111101000110100000000000000000100000000000000000000010000100000101000000000000000000011100011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010010011111010001101000000000000000001000000000000000000000100001000001010000000000000000000100100110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100110111110100011010000000000000000010000000000000000000001000010000010100000000000000000001001001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001101111101000110100000000000000000100000000000000000000010000100000101000000000000000000010010011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010010011111010011101000000000000000001000000000000000000000100001000001010000000000000000000100100110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100100111110100111010000000000000000010000000000000000000001000010000010100000000000000000001101001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001101111101001110100000000000000000100000000000000000000010000100000101000000000000000000011010011001100111100000000100000000001000000011111111101001100011010011011000000001100100101001100110010011011111010011101000000000000000001000000000000000000000100001000001010000000000000000000110100110011001111000000001000000000010000000111111111010011000110100110110000000011001001010011001100100100111110100011010000001000000000010000000000000000000001000010000010100000000000000000001101001100110011110000000010000000000100000001111111110100110001101001101100000000110010010100110011001001001111101000110100000010000000000100000000000000000000010000100000101000000000000000000010110011001100111100000000100000000001000000011111111101001100011010011011000000001100100101001100110010011011111010001101000000100000000001000000000000000000000100001000001010000000000000000000101100110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100110111110100011010000001000000000010000000000000000000001000010000010100000000000000000001011001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001001111101001110100000010000000000100000000000000000000010000100000101000000000000000000010110011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010010011111010011101000000100000000001000000000000000000000100001000001010000000000000000000111100110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100110111110100111010000001000000000010000000000000000000001000010000010100000000000000000001111001100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001101111101001110100000010000000000100000000000000000000010000100000101000000000000000000011110011001100111100000000100000000001100000011111111101001100011010011011000000001100100101001100110010010011111010001101000000000000000000000000000010000000000100001000001010000000000000000000111100110011001111000000001000000000011000000111111111010011000110100110110000000011001001010011001100100100111110100011010000000000000000000000000000100000000001000010000010100000000000000000001000101100110011110000000010000000000110000001111111110100110001101001101100000000110010010100110011001001101111101000110100000000000000000000000000001000000000010000100000101000000000000000000010001011001100111100000000100000000001100000011111111101010000000000000001000000001100100101001100110010011011111010001101000000000000000000000000000010000000000100001000001010000000000000000000100010110011001111000000001000000000011000000111111111010100000000000000010000000011001001010011001100100100111110100111010000000000000000000000000000100000000001000010000010100000000000000000001000101100110011110000000010000000000110000001111111110101000000000000000000110110110010010100110011001001001111101001110100000000000000000000000000001000000000010000100000101000000000000000000011001011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100110010011011111010011101000000000000000000000000000010000000000100001000001010000000000000000000110010110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011001100100110111110100111010000000000000000000000000000100000000001000010000010100000000000000000001100101100110011110000000010000000000110000001111111110101000000000000000110000000110010010100110011001001001111101000110100000010000000000000000000001000000000010000100000101000000000000000000011001011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100110010010011111010001101000000100000000000000000000010000000000100001000001010000000000000000000101010110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011001100100110111110100011010000001000000000000000000000100000000001000010000010100000000000000000001010101100110011110000000010000000000110000001111111110101000000000000000110000000110010010100110011001001101111101000110100000010000000000000000000001000000000010000100000101000000000000000000010101011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100110010010011111010011101000000100000000000000000000010000000000100001000001010000000000000000000101010110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011001100100100111110100111010000001000000000000000000000100000000001000010000010100000000000000000001110101100110011110000000010000000000110000001111111110101000000000000000110000000110010010100110011001001101111101001110100000010000000000000000000001000000000010000100000101000000000000000000011101011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100110010011011111010011101000000100000000000000000000010000000000100001000001010000000000000000000111010110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011000100100100111110100011010000000000000000010000000000100000000001000010000010100000000000000000001110101100110011110000000010000000000110000001111111110101000000000000000110000000110010010100110001001001001111101000110100000000000000000100000000001000000000010000100000101000000000000000000010011011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100010010011011111010001101000000000000000001000000000010000000000100001000001010000000000000000000100110110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011000100100110111110100011010000000000000000010000000000100000000001000010000010100000000000000000001001101100110011110000000010000000000110000001111111110101000000000000000110000000110010010100110001001001001111101001110100000000000000000100000000001000000000010000100000101000000000000000000010011011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100010010010011111010011101000000000000000001000000000010000000000100001000001010000000000000000000110110110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011000100100110111110100111010000000000000000010000000000100000000001000010000010100000000000000000001101101100110011110000000010000000000100000001111111110101000000000000000110000000110010010100110001001001101111101001110100000000000000000100000000001000000000010000100000101000000000000000000011011011001100111100000000100000000001000000011111111101010000000000000001100000001100100101001100010010010011111010001101000000100000000001000000000010000000000100001000001010000000000000000000110110110011001111000000001000000000010000000111111111010100000000000000011000000011001001010011000100100100111110100011010000001000000000010000000000100000000001000010000010100000000000000000001011101100110011110000000010000000000100000001111111110101000000000000000110000000110010010100110001001001101111101000110100000010000000000100000000001000000000010000100000101000000000000000000010111011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100010010011011111010001101000000100000000001000000000010000000000100001000001010000000000000000000101110110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011000100100100111110100111010000001000000000010000000000100000000001000010000010100000000000000000001011101100110011110000000010000000000110000001111111110101000000000000000110000000110010010100110001001001001111101001110100000010000000000100000000001000000000010000100000101000000000000000000011111011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100010010011011111010011101000000100000000001000000000010000000000100001000001010000000000000000000111110110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011000100100110111110100111010000001000000000010000000000100000000001000010000010100000000000000000001111101100110011110000000010000000000110000001111111110101000000000000000110000000110010010100110001001001001111101000110100000000000000000000000000000000000000000000010000101000000000000000000011111011001100111100000000100000000001100000011111111101010000000000000001100000001100100101001100010010010011111010001101000000000000000000000000000000000000000000000100001010000000000000000000100001110011001111000000001000000000011000000111111111010100000000000000011000000011001001010011000100100110111110100011010000000000000000000000000000000000000000000001000010100000000000000000001000011100110011110000000010000000000110000001111111110101110001101001101110000000010010010100110001001001101111101000110100000000000000000000000000000000000000000000010000101000000000000000000010000111001100111100000000100000000001100000011111111101011100011010011011100000000100100101001100010010010011111010011101000000000000000000000000000000000000000000000100001010000000000000000000100001110011001111000000001000000000011000000111111111010111000110100110100000000001001001010011000100100100111110100111010000000000000000000000000000000000000000000001000010100000000000000000001100011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100110001001001101111101001110100000000000000000000000000000000000000000000010000101000000000000000000011000111001100111100000000100000000001100000011111111101011100011010011011010000100100100101001100010010011011111010011101000000000000000000000000000000000000000000000100001010000000000000000000110001110011001111000000001000000000011000000111111111010111000110100110110100001001001001010011000100100100111110100011010000001000000000000000000000000000000000000001000010100000000000000000001100011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100110001001001001111101000110100000010000000000000000000000000000000000000010000101000000000000000000010100111001100111100000000100000000001100000011111111101011100011010011011010000100100100101001100010010011011111010001101000000100000000000000000000000000000000000000100001010000000000000000000101001110011001111000000001000000000011000000111111111010111000110100110110100001001001001010011000100100110111110100011010000001000000000000000000000000000000000000001000010100000000000000000001010011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100110001001001001111101001110100000010000000000000000000000000000000000000010000101000000000000000000010100111001100111100000000100000000001100000011111111101011100011010011011010000100100100101001100010010010011111010011101000000100000000000000000000000000000000000000100001010000000000000000000111001110011001111000000001000000000011000000111111111010111000110100110110100001001001001010011000100100110111110100111010000001000000000000000000000000000000000000001000010100000000000000000001110011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100001001001001101111101001110100000010000000000000000000000000000000000000010000101000000000000000000011100111001100111100000000100000000001100000011111111101011100011010011011010000100100100101000010010010010011111010001101000000000000000001000000000000000000000000000100001010000000000000000000111001110011001111000000001000000000011000000111111111010111000110100110110100001001001001010000100100100100111110100011010000000000000000010000000000000000000000000001000010100000000000000000001001011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100001001001001101111101000110100000000000000000100000000000000000000000000010000101000000000000000000010010111001100111100000000100000000001100000011111111101011100011010011011010000100100100101000010010010011011111010001101000000000000000001000000000000000000000000000100001010000000000000000000100101110011001111000000001000000000011000000111111111010111000110100110110100001001001001010000100100100100111110100111010000000000000000010000000000000000000000000001000010100000000000000000001001011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100001001001001001111101001110100000000000000000100000000000000000000000000010000101000000000000000000011010111001100111100000000100000000001100000011111111101011100011010011011010000100100100101000010010010011011111010011101000000000000000001000000000000000000000000000100001010000000000000000000110101110011001111000000001000000000010000000111111111010111000110100110110100001001001001010000100100100110111110100111010000000000000000010000000000000000000000000001000010100000000000000000001101011100110011110000000010000000000100000001111111110101110001101001101101000010010010010100001001001001001111101000110100000010000000000100000000000000000000000000010000101000000000000000000011010111001100111100000000100000000001000000011111111101011100011010011011010000100100100101000010010010010011111010001101000000100000000001000000000000000000000000000100001010000000000000000000101101110011001111000000001000000000010000000111111111010111000110100110110100001001001001010000100100100110111110100011010000001000000000010000000000000000000000000001000010100000000000000000001011011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100001001001001101111101000110100000010000000000100000000000000000000000000010000101000000000000000000010110111001100111100000000100000000001100000011111111101011100011010011011010000100100100101000010010010010011111010011101000000100000000001000000000000000000000000000100001010000000000000000000101101110011001111000000001000000000011000000111111111010111000110100110110100001001001001010000100100100100111110100111010000001000000000010000000000000000000000000001000010100000000000000000001111011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100001001001001101111101001110100000010000000000100000000000000000000000000010000101000000000000000000011110111001100111100000000100000000001100000011111111101011100011010011011010000100100100101000010010010011011111010011101000000100000000001000000000000000000000000000100001010000000000000000000111101110011001111000000001000000000011000000111111111010111000110100110110100001001001001010000100100100100111110100011010000000000000000000000000000100000000000000001000010100000000000000000001111011100110011110000000010000000000110000001111111110101110001101001101101000010010010010100001001001001001111101000110100000000000000000000000000001000000000000000010000101000000000000000000010001111001100111100000000100000000001100000011111111101011100011010011011010000100100100101000010010010011011111010001101000000000000000000000000000010000000000000000100001010000000000000000000100011110011001111000000001000000000011000000111111111010011000101100110110100001001001001010000100100100110111110100011010000000000000000000000000000100000000000000001000010100000000000000000001000111100110011110000000010000000000110000001111111110100110001011001101101000010010010010100001001001001001111101001110100000000000000000000000000001000000000000000010000101000000000000000000010001111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010010011111010011101000000000000000000000000000010000000000000000100001010000000000000000000110011110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100110111110100111010000000000000000000000000000100000000000000001000010100000000000000000001100111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001101111101001110100000000000000000000000000001000000000000000010000101000000000000000000011001111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010010011111010001101000000100000000000000000000010000000000000000100001010000000000000000000110011110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100100111110100011010000001000000000000000000000100000000000000001000010100000000000000000001010111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001101111101000110100000010000000000000000000001000000000000000010000101000000000000000000010101111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010011011111010001101000000100000000000000000000010000000000000000100001010000000000000000000101011110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100100111110100111010000001000000000000000000000100000000000000001000010100000000000000000001010111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001001111101001110100000010000000000000000000001000000000000000010000101000000000000000000011101111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010011011111010011101000000100000000000000000000010000000000000000100001010000000000000000000111011110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100110111110100111010000001000000000000000000000100000000000000001000010100000000000000000001110111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001001111101000110100000000000000000100000000001000000000000000010000101000000000000000000011101111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010010011111010001101000000000000000001000000000010000000000000000100001010000000000000000000100111110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100110111110100011010000000000000000010000000000100000000000000001000010100000000000000000001001111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001101111101000110100000000000000000100000000001000000000000000010000101000000000000000000010011111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010010011111010011101000000000000000001000000000010000000000000000100001010000000000000000000100111110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100100111110100111010000000000000000010000000000100000000000000001000010100000000000000000001101111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001101111101001110100000000000000000100000000001000000000000000010000101000000000000000000011011111001100111100000000100000000001000000011111111101001100010110011011000000000100100101000010010010011011111010011101000000000000000001000000000010000000000000000100001010000000000000000000110111110011001111000000001000000000010000000111111111010011000101100110110000000001001001010000100100100100111110100011010000001000000000010000000000100000000000000001000010100000000000000000001101111100110011110000000010000000000100000001111111110100110001011001101100000000010010010100001001001001001111101000110100000010000000000100000000001000000000000000010000101000000000000000000010111111001100111100000000100000000001000000011111111101001100010110011011000000000100100101000010010010011011111010001101000000100000000001000000000010000000000000000100001010000000000000000000101111110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100110111110100011010000001000000000010000000000100000000000000001000010100000000000000000001011111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001001111101001110100000010000000000100000000001000000000000000010000101000000000000000000010111111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010010011111010011101000000100000000001000000000010000000000000000100001010000000000000000000111111110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100110111110100111010000001000000000010000000000100000000000000001000010100000000000000000001111111100110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001101111101001110100000010000000000100000000001000000000000000010000101000000000000000000011111111001100111100000000100000000001100000011111111101001100010110011011000000000100100101000010010010010011111010001101000000000000000000000000000000000000000100000100001010000000000000000000111111110011001111000000001000000000011000000111111111010011000101100110110000000001001001010000100100100100111110100011010000000000000000000000000000000000000001000001000010100000000000000000001000000010110011110000000010000000000110000001111111110100110001011001101100000000010010010100001001001001101111101000110100000000000000000000000000000000000000010000010000101000000000000000000010000000101100111100000000100000000001100000011111111101010000000000000001000000011000000101000010010010011011111010001101000000000000000000000000000000000000000100000100001010000000000000000000100000001011001111000000001000000000011000000111111111110100000000000000010000000110000001010000100100100100111110100111010000000000000000000000000000000000000001000001000010100000000000000000001000000010110011110000000010000000000110000001111111111101000000000000000110000001100000010100001001001001001111101001110100000000000000000000000000000000000000010000010000101000000000000000000011000000101100111100000000100000000001100000011111111111010000000000000001100000011000000101000010010010011011111010011101000000000000000000000000000000000000000100000100001010000000000000000000110000001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100110111110100111010000000000000000000000000000000000000001000001000010100000000000000000001100000010110011110000000010000000000110000001111111111101000000000000000110000001100000010100001001001001001111101000110100000010000000000000000000000000000000010000010000101000000000000000000011000000101100111100000000100000000001100000011111111111010000000000000001100000011000000101000010010010010011111010001101000000100000000000000000000000000000000100000100001010000000000000000000101000001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100110111110100011010000001000000000000000000000000000000001000001000010100000000000000000001010000010110011110000000010000000000110000001111111111101000000000000000110000001100000010100001001001001101111101000110100000010000000000000000000000000000000010000010000101000000000000000000010100000101100111100000000100000000001100000011111111111010000000000000001100000011000000101000010010010010011111010011101000000100000000000000000000000000000000100000100001010000000000000000000101000001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100100111110100111010000001000000000000000000000000000000001000001000010100000000000000000001110000010110011110000000010000000000110000001111111111101000000000000000110000001100000010100001001001001101111101001110100000010000000000000000000000000000000010000010000101000000000000000000011100000101100111100000000100000000001100000011111111111010000000000000001100000011000000101000010010010011011111010011101000000100000000000000000000000000000000100000100001010000000000000000000111000001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100100111110100011010000000000000000010000000000000000000001000001000010100000000000000000001110000010110011110000000010000000000110000001111111111101000000000000000110000001100000010100001001001001001111101000110100000000000000000100000000000000000000010000010000101000000000000000000010010000101100111100000000100000000001100000011111111110010000000000000001100000011000000101000010010010011011111010001101000000000000000001000000000000000000000100000100001010000000000000000000100100001011001111000000001000000000011000000111111111100100000000000000011000000110000001010000100100100110111110100011010000000000000000010000000000000000000001000001000010100000000000000000001001000010110011110000000010000000000110000001111111111001000000000000000110000001100000010100001001001001001111101001110100000000000000000100000000000000000000010000010000101000000000000000000010010000101100111100000000100000000001100000011111111110010000000000000001100000011000000101000010010010010011111010011101000000000000000001000000000000000000000100000100001010000000000000000000110100001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100110111110100111010000000000000000010000000000000000000001000001000010100000000000000000001101000010110011110000000010000000000100000001111111111101000000000000000110000001100000010100001001001001101111101001110100000000000000000100000000000000000000010000010000101000000000000000000011010000101100111100000000100000000001000000011111111111010000000000000001100000011000000101000010010010010011111010001101000000100000000001000000000000000000000100000100001010000000000000000000110100001011001111000000001000000000010000000111111111110100000000000000011000000110000001010000100100100100111110100011010000001000000000010000000000000000000001000001000010100000000000000000001011000010110011110000000010000000000100000001111111111101000000000000000110000001100000010100001001001001101111101000110100000010000000000100000000000000000000010000010000101000000000000000000010110000101100111100000000100000000001100000011111111111010000000000000001100000011000000101000010010010011011111010001101000000100000000001000000000000000000000100000100001010000000000000000000101100001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100100111110100111010000001000000000010000000000000000000001000001000010100000000000000000001011000010110011110000000010000000000110000001111111111101000000000000000110000001100000010100001001001001001111101001110100000010000000000100000000000000000000010000010000101000000000000000000011110000101100111100000000100000000001100000011111111111010000000000000001100000011000000101000010010010011011111010011101000000100000000001000000000000000000000100000100001010000000000000000000111100001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100110111110100111010000001000000000010000000000000000000001000001000010100000000000000000001111000010110011110000000010000000000110000001111111111101000000000000000110000001100000010100001001001001001111101000110100000000000000000000000000001000000000010000010000101000000000000000000011110000101100111100000000100000000001100000011111111111010000000000000001100000011000000101000010010010010011111010001101000000000000000000000000000010000000000100000100001010000000000000000000100010001011001111000000001000000000011000000111111111110100000000000000011000000110000001010000100100100110111110100011010000000000000000000000000000100000000001000001000010100000000000000000001000100010110011110000000010000000000110000001111111111101110001011001101110000000010010010100001001001001101111101000110100000000000000000000000000001000000000010000010000101000000000000000000010001000101100111100000000100000000001100000011111111101011100010110011011111111100100100101000010010010010011111010011101000000000000000000000000000010000000000100000100001010000000000000000000100010001011001111000000001000000000011000000111111111010111000101100110100000000001001001010000100100100100111110100111010000000000000000000000000000100000000001000001000010100000000000000000001100100010110011110000000010000000000110000001111111110101110001011001101100101010010010010100001001001001101111101001110100000000000000000000000000001000000000010000010000101000000000000000000011001000101100111100000000100000000001100000011111111101011100010110011011001010100100100101000010010010011011111010011101000000000000000000000000000010000000000100000100001010000000000000000000110010001011001111000000001000000000011000000111111111010111000101100110110010101001001001010000100100100100111110100011010000001000000000000000000000100000000001000001000010100000000000000000001100100010110011110000000010000000000110000001111111110101110001011001101100101010010010010100001001001001001111101000110100000010000000000000000000001000000000010000010000101000000000000000000010101000101100111100000000100000000001100000011111111101011100010110011011001010100100100101000010010010011011111010001101000000100000000000000000000010000000000100000100001010000000000000000000101010001011001111000000001000000000011000000111111111010111000101100110110010101001001001010000100100100110111110100011010000001000000000000000000000100000000001000001000010100000000000000000001010100010110011110000000010000000000110000001111111110101110001011001101100101010010010010100001001001001001111101001110100000010000000000000000000001000000000010000010000101000000000000000000010101000101100111100000000100000000001100000011111111101011100010110011011001010100100100101000010010010010011111010011101000000100000000000000000000010000000000100000100001010000000000000000000111010001011001111000000001000000000011000000111111111010111000101100110110010101001001001010000100100100110111110100111010000001000000000000000000000100000000001000001000010100000000000000000001110100010110011110000000010000000000110000001111111110101110001011001101100101010010010010010101001001001101111101001110100000010000000000000000000001000000000010000010000101000000000000000000011101000101100111100000000100000000001100000011111111101011100010110011011001010100100100100101010010010010011111010001101000000000000000001000000000010000000000100000100001010000000000000000000111010001011001111000000001000000000011000000111111111010111000101100110110010101001001001001010100100100100111110100011010000000000000000010000000000100000000001000001000010100000000000000000001001100010110011110000000010000000000110000001111111110101110001011001101100101010010010010010101001001001101111101000110100000000000000000100000000001000000000010000010000101000000000000000000010011000101100111100000000100000000001100000011111111101011100010110011011001010100100100100101010010010011011111010001101000000000000000001000000000010000000000100000100001010000000000000000000100110001011001111000000001000000000011000000111111111010111000101100110110010101001001001001010100100100100111110100111010000000000000000010000000000100000000001000001000010100000000000000000001001100010110011110000000010000000000110000001111111110101110001011001101100101010010010010010101001001001001111101001110100000000000000000100000000001000000000010000010000101000000000000000000011011000101100111100000000100000000001100000011111111101011100010110011011001010100100100100101010010010011011111010011101000000000000000001000000000010000000000100000100001010000000000000000000110110001011001111000000001000000000010000000111111111010111000101100110110010101001001001001010100100100110111110100111010000000000000000010000000000100000000001000001000010100000000000000000001101100010110011110000000010000000000100000001111111110101110001011001101100101010010010010010101001001001001111101000110100000010000000000100000000001000000000010000010000101000000000000000000011011000101100111100000000100000000001000000011111111101011100010110011011001010100100100100101010010010010011111010001101000000100000000001000000000010000000000100000100001010000000000000000000101110001011001111000000001000000000010000000111111111010111000101100110110010101001001001001010100100100110111110100011010000001000000000010000000000100000000001000001000010100000000000000000001011100010110011110000000010000000000110000001111111110101110001011001101100101010010010010010101001001001101111101000110100000010000000000100000000001000000000010000010000101000000000000000000010111000101100111100000000100000000001100000011111111101011100010110011011001010100100100100101010010010010011111010011101000000100000000001000000000010000000000100000100001010000000000000000000101110001011001111000000001000000000011000000111111111010111000101100110110010101001001001001010100100100100111110100111010000001000000000010000000000100000000001000001000010100000000000000000001111100010110011110000000010000000000110000001111111110101110001011001101100101010010010010010101001001001101111101001110100000010000000000100000000001000000000010000010000101000000000000000000011111000101100111100000000100000000001100000011111111101011100010110011011001010100100100100101010010010011011111010011101000000100000000001000000000010000000000100000100001010000000000000000000111110001011001111000000001000000000011000000111111111010111000101100110110010101001001001001010100100100100111110100011010000000000000000000000000000000000000000000011000010100000000000000000001111100010110011110000000010000000000110000001111111110101110001011001101100101010010010010010101001001001001111101000110100000000000000000000000000000000000000000000110000101000000000000000000010000100101100111100000000100000000001100000011111111101011100010110011011001010100100100100101010010010011011111010001101000000000000000000000000000000000000000000001100001010000000000000000000100001001011001111000000001000000000011000000111111111010011000111100110110010101001001001001010100100100110111110100011010000000000000000000000000000000000000000000011000010100000000000000000001000010010110011110000000010000000000110000001111111110100110001111001101100101010010010010010101001001001001111101001110100000000000000000000000000000000000000000000110000101000000000000000000010000100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010010011111010011101000000000000000000000000000000000000000000001100001010000000000000000000110001001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100110111110100111010000000000000000000000000000000000000000000011000010100000000000000000001100010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001101111101001110100000000000000000000000000000000000000000000110000101000000000000000000011000100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010010011111010001101000000100000000000000000000000000000000000001100001010000000000000000000110001001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100100111110100011010000001000000000000000000000000000000000000011000010100000000000000000001010010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001101111101000110100000010000000000000000000000000000000000000110000101000000000000000000010100100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010011011111010001101000000100000000000000000000000000000000000001100001010000000000000000000101001001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100100111110100111010000001000000000000000000000000000000000000011000010100000000000000000001010010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001001111101001110100000010000000000000000000000000000000000000110000101000000000000000000011100100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010011011111010011101000000100000000000000000000000000000000000001100001010000000000000000000111001001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100110111110100111010000001000000000000000000000000000000000000011000010100000000000000000001110010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001001111101000110100000000000000000100000000000000000000000000110000101000000000000000000011100100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010010011111010001101000000000000000001000000000000000000000000001100001010000000000000000000100101001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100110111110100011010000000000000000010000000000000000000000000011000010100000000000000000001001010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001101111101000110100000000000000000100000000000000000000000000110000101000000000000000000010010100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010010011111010011101000000000000000001000000000000000000000000001100001010000000000000000000100101001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100100111110100111010000000000000000010000000000000000000000000011000010100000000000000000001101010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001101111101001110100000000000000000100000000000000000000000000110000101000000000000000000011010100101100111100000000100000000001000000011111111101001100011110011011111110000100100100101010010010011011111010011101000000000000000001000000000000000000000000001100001010000000000000000000110101001011001111000000001000000000010000000111111111010011000111100110111111100001001001001010100100100100111110100011010000001000000000010000000000000000000000000011000010100000000000000000001101010010110011110000000010000000000100000001111111110100110001111001101111111000010010010010101001001001001111101000110100000010000000000100000000000000000000000000110000101000000000000000000010110100101100111100000000100000000001000000011111111101001100011110011011111110000100100100101010010010011011111010001101000000100000000001000000000000000000000000001100001010000000000000000000101101001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100110111110100011010000001000000000010000000000000000000000000011000010100000000000000000001011010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001001111101001110100000010000000000100000000000000000000000000110000101000000000000000000010110100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010010011111010011101000000100000000001000000000000000000000000001100001010000000000000000000111101001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100110111110100111010000001000000000010000000000000000000000000011000010100000000000000000001111010010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001101111101001110100000010000000000100000000000000000000000000110000101000000000000000000011110100101100111100000000100000000001100000011111111101001100011110011011111110000100100100101010010010010011111010001101000000000000000000000000000010000000000000001100001010000000000000000000111101001011001111000000001000000000011000000111111111010011000111100110111111100001001001001010100100100100111110100011010000000000000000000000000000100000000000000011000010100000000000000000001000110010110011110000000010000000000110000001111111110100110001111001101111111000010010010010101001001001101111101000110100000000000000000000000000001000000000000000110000101000000000000000000010001100101100111100000000100000000001100000011111111101011100011110011011111110000100100100101010010010011011111010001101000000000000000000000000000010000000000000001100001010000000000000000000100011001011001111000000001000000000011000000111111111010111000111100110111111100001001001001010100100100100111110100111010000000000000000000000000000100000000000000011000010100000000000000000001000110010110011110000000010000000000110000001111111110101110001111001101101100010010010010010101001001001001111101001110100000000000000000000000000001000000000000000110000101000000000000000000011001100101100111100000000100000000001100000011111111101011100011110011011011000100100100100101010010010011011111010011101000000000000000000000000000010000000000000001100001010000000000000000000110011001011001111000000001000000000011000000111111111010111000111100110110110001001001001001010100100100110111110100111010000000000000000000000000000100000000000000011000010100000000000000000001100110010110011110000000010000000000110000001111111110101110001111001101101100010010010010010101001001001001111101000110100000010000000000000000000001000000000000000110000101000000000000000000011001100101100111100000000100000000001100000011111111101011100011110011011011000100100100100101010010010010011111010001101000000100000000000000000000010000000000000001100001010000000000000000000101011001011001111000000001000000000011000000111111111010111000111100110110110001001001001001010100100100110111110100011010000001000000000000000000000100000000000000011000010100000000000000000001010110010110011110000000010000000000110000001111111110101110001111001101101100010010010010010101001001001101111101000110100000010000000000000000000001000000000000000110000101000000000000000000010101100101100111100000000100000000001100000011111111101011100011110011011011000100100100100101010010010010011111010011101000000100000000000000000000010000000000000001100001010000000000000000000101011001011001111000000001000000000011000000111111111010111000111100110110110001001001001001010100100100100111110100111010000001000000000000000000000100000000000000011000010100000000000000000001110110010110011110000000010000000000110000001111111110101110001111001101101100010010010010010101001001001101111101001110100000010000000000000000000001000000000000000110000101000000000000000000011101100101100111100000000100000000001100000011111111101011100011110011011011000100100100101100010010010011011111010011101000000100000000000000000000010000000000000001100001010000000000000000000111011001011001111000000001000000000011000000111111111010111000111100110110110001001001001011000100100100100111110100011010000000000000000010000000000100000000000000011000010100000000000000000001110110010110011110000000010000000000110000001111111110101110001111001101101100010010010010110001001001001001111101000110100000000000000000100000000001000000000000000110000101000000000000000000010011100101100111100000000100000000001100000011111111101011100011110011011011000100100100101100010010010011011111010001101000000000000000001000000000010000000000000001100001010000000000000000000100111001011001111000000001000000000011000000111111111010111000111100110110110001001001001011000100100100110111110100011010000000000000000010000000000100000000000000011000010100000000000000000001001110010110011110000000010000000000110000001111111110101110001111001101101100010010010010110001001001001001111101001110100000000000000000100000000001000000000000000110000101000000000000000000010011100101100111100000000100000000001100000011111111101011100011110011011011000100100100101100010010010010011111010011101000000000000000001000000000010000000000000001100001010000000000000000000110111001011001111000000001000000000011000000111111111010111000111100110110110001001001001011000100100100110111110100111010000000000000000010000000000100000000000000011000010100000000000000000001101110010110011110000000010000000000100000001111111110101110001111001101101100010010010010110001001001001101111101001110100000000000000000100000000001000000000000000110000101000000000000000000011011100101100111100000000100000000001000000011111111101011100011110011011011000100100100101100010010010010011111010001101000000100000000001000000000010000000000000001100001010000000000000000000110111001011001111000000001000000000010000000111111111010111000111100110110110001001001001011000100100100100111110100011010000001000000000010000000000100000000000000011000010100000000000000000001011110010110011110000000010000000000100000001111111110101110001111001101101100010010010010110001001001001101111101000110100000010000000000100000000001000000000000000110000101000000000000000000010111100101100111100000000100000000001100000011111111101011100011110011011011000100100100101100010010010011011111010001101000000100000000001000000000010000000000000001100001010000000000000000000101111001011001111000000001000000000011000000111111111010111000111100110110110001001001001011000100100100100111110100111010000001000000000010000000000100000000000000011000010100000000000000000001011110010110011110000000010000000000110000001111111110101110001111001101101100010010010010110001001001001001111101001110100000010000000000100000000001000000000000000110000101000000000000000000011111100101100111100000000100000000001100000011111111101011100011110011011011000100100100101100010010010011011111010011101000000100000000001000000000010000000000000001100001010000000000000000000111111001011001111000000001000000000011000000111111111010111000111100110110110001001001001011000100100100110111110100111010000001000000000010000000000100000000000000011000010100000000000000000001111110010110011110000000010000000000110000001111111110101110001111001101101100010010010010110001001001001001111101000110100000000000000000000000000000000000000010000110000101000000000000000000011111100101100111100000000100000000001100000011111111101011100011110011011011000100100100101100010010010010011111010001101000000000000000000000000000000000000000100001100001010000000000000000000100000101011001111000000001000000000011000000111111111010111000111100110110110001001001001011000100100100110111110100011010000000000000000000000000000000000000001000011000010100000000000000000001000001010110011110000000010000000000110000001111111110100110001000101101101100010010010010110001001001001101111101000110100000000000000000000000000000000000000010000110000101000000000000000000010000010101100111100000000100000000001100000011111111101001100010001011011011000100100100101100010010010010011111010011101000000000000000000000000000000000000000100001100001010000000000000000000100000101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100100111110100111010000000000000000000000000000000000000001000011000010100000000000000000001100001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001101111101001110100000000000000000000000000000000000000010000110000101000000000000000000011000010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010011011111010011101000000000000000000000000000000000000000100001100001010000000000000000000110000101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100100111110100011010000001000000000000000000000000000000001000011000010100000000000000000001100001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001001111101000110100000010000000000000000000000000000000010000110000101000000000000000000010100010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010011011111010001101000000100000000000000000000000000000000100001100001010000000000000000000101000101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100110111110100011010000001000000000000000000000000000000001000011000010100000000000000000001010001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001001111101001110100000010000000000000000000000000000000010000110000101000000000000000000010100010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010010011111010011101000000100000000000000000000000000000000100001100001010000000000000000000111000101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100110111110100111010000001000000000000000000000000000000001000011000010100000000000000000001110001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001101111101001110100000010000000000000000000000000000000010000110000101000000000000000000011100010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010010011111010001101000000000000000001000000000000000000000100001100001010000000000000000000111000101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100100111110100011010000000000000000010000000000000000000001000011000010100000000000000000001001001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001101111101000110100000000000000000100000000000000000000010000110000101000000000000000000010010010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010011011111010001101000000000000000001000000000000000000000100001100001010000000000000000000100100101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100100111110100111010000000000000000010000000000000000000001000011000010100000000000000000001001001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001001111101001110100000000000000000100000000000000000000010000110000101000000000000000000011010010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010011011111010011101000000000000000001000000000000000000000100001100001010000000000000000000110100101011001111000000001000000000010000000111111111010011000100010110101100000001001001011000100100100110111110100111010000000000000000010000000000000000000001000011000010100000000000000000001101001010110011110000000010000000000100000001111111110100110001000101101011000000010010010110001001001001001111101000110100000010000000000100000000000000000000010000110000101000000000000000000011010010101100111100000000100000000001000000011111111101001100010001011010110000000100100101100010010010010011111010001101000000100000000001000000000000000000000100001100001010000000000000000000101100101011001111000000001000000000010000000111111111010011000100010110101100000001001001011000100100100110111110100011010000001000000000010000000000000000000001000011000010100000000000000000001011001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001101111101000110100000010000000000100000000000000000000010000110000101000000000000000000010110010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010010011111010011101000000100000000001000000000000000000000100001100001010000000000000000000101100101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100100111110100111010000001000000000010000000000000000000001000011000010100000000000000000001111001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001101111101001110100000010000000000100000000000000000000010000110000101000000000000000000011110010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010011011111010011101000000100000000001000000000000000000000100001100001010000000000000000000111100101011001111000000001000000000011000000111111111010011000100010110101100000001001001011000100100100100111110100011010000000000000000000000000000100000000001000011000010100000000000000000001111001010110011110000000010000000000110000001111111110100110001000101101011000000010010010110001001001001001111101000110100000000000000000000000000001000000000010000110000101000000000000000000010001010101100111100000000100000000001100000011111111101001100010001011010110000000100100101100010010010011011111010001101000000000000000000000000000010000000000100001100001010000000000000000000100010101011001111000000001000000000011000000111111111010111000100010110101100000001001001011000100100100110111110100011010000000000000000000000000000100000000001000011000010100000000000000000001000101010110011110000000010000000000110000001111111110101110001000101101011000000010010010110001001001001001111101001110100000000000000000000000000001000000000010000110000101000000000000000000010001010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010010011111010011101000000000000000000000000000010000000000100001100001010000000000000000000110010101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100110111110100111010000000000000000000000000000100000000001000011000010100000000000000000001100101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001101111101001110100000000000000000000000000001000000000010000110000101000000000000000000011001010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010010011111010001101000000100000000000000000000010000000000100001100001010000000000000000000110010101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100100111110100011010000001000000000000000000000100000000001000011000010100000000000000000001010101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001101111101000110100000010000000000000000000001000000000010000110000101000000000000000000010101010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010011011111010001101000000100000000000000000000010000000000100001100001010000000000000000000101010101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100100111110100111010000001000000000000000000000100000000001000011000010100000000000000000001010101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001001111101001110100000010000000000000000000001000000000010000110000101000000000000000000011101010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010011011111010011101000000100000000000000000000010000000000100001100001010000000000000000000111010101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100110111110100111010000001000000000000000000000100000000001000011000010100000000000000000001110101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001001111101000110100000000000000000100000000001000000000010000110000101000000000000000000011101010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010010011111010001101000000000000000001000000000010000000000100001100001010000000000000000000100110101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100110111110100011010000000000000000010000000000100000000001000011000010100000000000000000001001101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001101111101000110100000000000000000100000000001000000000010000110000101000000000000000000010011010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010010011111010011101000000000000000001000000000010000000000100001100001010000000000000000000100110101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100100111110100111010000000000000000010000000000100000000001000011000010100000000000000000001101101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001101111101001110100000000000000000100000000001000000000010000110000101000000000000000000011011010101100111100000000100000000001000000011111111101011100010001011010000010000100100101100010010010011011111010011101000000000000000001000000000010000000000100001100001010000000000000000000110110101011001111000000001000000000010000000111111111010111000100010110100000100001001001011000100100100100111110100011010000001000000000010000000000100000000001000011000010100000000000000000001101101010110011110000000010000000000100000001111111110101110001000101101000001000010010010110001001001001001111101000110100000010000000000100000000001000000000010000110000101000000000000000000010111010101100111100000000100000000001000000011111111101011100010001011010000010000100100101100010010010011011111010001101000000100000000001000000000010000000000100001100001010000000000000000000101110101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100110111110100011010000001000000000010000000000100000000001000011000010100000000000000000001011101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001001111101001110100000010000000000100000000001000000000010000110000101000000000000000000010111010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010010011111010011101000000100000000001000000000010000000000100001100001010000000000000000000111110101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100110111110100111010000001000000000010000000000100000000001000011000010100000000000000000001111101010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001101111101001110100000010000000000100000000001000000000010000110000101000000000000000000011111010101100111100000000100000000001100000011111111101011100010001011010000010000100100101100010010010010011111010001101000000000000000000000000000000000000000000000010001010000000000000000000111110101011001111000000001000000000011000000111111111010111000100010110100000100001001001011000100100100100111110100011010000000000000000000000000000000000000000000000100010100000000000000000001000011010110011110000000010000000000110000001111111110101110001000101101000001000010010010110001001001001101111101000110100000000000000000000000000000000000000000000001000101000000000000000000010000110101100111100000000100000000001100000011111111101000001001100000000000010011111100101100010010010011011111010001101000000000000000000000000000000000000000000000010001010000000000000000000100001101011001111000000001000000000011000000111111111110000010011000000000000100111111001011000100100100100111110100111010000000000000000000000000000000000000000000000100010100000000000000000001000011010110011110000000010000000000110000001111111111100000100110000000111111001111110010110001001001001001111101001110100000000000000000000000000000000000000000000001000101000000000000000000011000110101100111100000000100000000001100000011111111111000001001100000001111110011111100101100010010010011011111010011101000000000000000000000000000000000000000000000010001010000000000000000000110001101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100110111110100111010000000000000000000000000000000000000000000000100010100000000000000000001100011010110011110000000010000000000110000001111111111100000100110000000111111001111110010110001001001001001111101000110100000010000000000000000000000000000000000000001000101000000000000000000011000110101100111100000000100000000001100000011111111111000001001100000001111110011111100101100010010010010011111010001101000000100000000000000000000000000000000000000010001010000000000000000000101001101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100110111110100011010000001000000000000000000000000000000000000000100010100000000000000000001010011010110011110000000010000000000110000001111111111100000100110000000111111001111110010110001001001001101111101000110100000010000000000000000000000000000000000000001000101000000000000000000010100110101100111100000000100000000001100000011111111111000001001100000001111110011111100101100010010010010011111010011101000000100000000000000000000000000000000000000010001010000000000000000000101001101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100100111110100111010000001000000000000000000000000000000000000000100010100000000000000000001110011010110011110000000010000000000110000001111111111100000100110000000111111001111110010110001001001001101111101001110100000010000000000000000000000000000000000000001000101000000000000000000011100110101100111100000000100000000001100000011111111111000001001100000001111110011111100101100010010010011011111010011101000000100000000000000000000000000000000000000010001010000000000000000000111001101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100100111110100011010000000000000000010000000000000000000000000000100010100000000000000000001110011010110011110000000010000000000110000001111111111100000100110000000111111001111110010110001001001001001111101000110100000000000000000100000000000000000000000000001000101000000000000000000010010110101100111100000000100000000001100000011111111110000001001100000001111110011111100101100010010010011011111010001101000000000000000001000000000000000000000000000010001010000000000000000000100101101011001111000000001000000000011000000111111111100000010011000000011111100111111001011000100100100110111110100011010000000000000000010000000000000000000000000000100010100000000000000000001001011010110011110000000010000000000110000001111111111000000100110000000111111001111110010110001001001001001111101001110100000000000000000100000000000000000000000000001000101000000000000000000010010110101100111100000000100000000001100000011111111110000001001100000001111110011111100101100010010010010011111010011101000000000000000001000000000000000000000000000010001010000000000000000000110101101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100110111110100111010000000000000000010000000000000000000000000000100010100000000000000000001101011010110011110000000010000000000100000001111111111100000100110000000111111001111110010110001001001001101111101001110100000000000000000100000000000000000000000000001000101000000000000000000011010110101100111100000000100000000001000000011111111111000001001100000001111110011111100101100010010010010011111010001101000000100000000001000000000000000000000000000010001010000000000000000000110101101011001111000000001000000000010000000111111111110000010011000000011111100111111001011000100100100100111110100011010000001000000000010000000000000000000000000000100010100000000000000000001011011010110011110000000010000000000100000001111111111100000100110000000111111001111110010110001001001001101111101000110100000010000000000100000000000000000000000000001000101000000000000000000010110110101100111100000000100000000001100000011111111111000001001100000001111110011111100101100010010010011011111010001101000000100000000001000000000000000000000000000010001010000000000000000000101101101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100100111110100111010000001000000000010000000000000000000000000000100010100000000000000000001011011010110011110000000010000000000110000001111111111100000100110000000111111001111110010110001001001001001111101001110100000010000000000100000000000000000000000000001000101000000000000000000011110110101100111100000000100000000001100000011111111111000001001100000001111110011111100101100010010010011011111010011101000000100000000001000000000000000000000000000010001010000000000000000000111101101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100110111110100111010000001000000000010000000000000000000000000000100010100000000000000000001111011010110011110000000010000000000110000001111111111100000100110000000111111001111110010110001001001001001111101000110100000000000000000000000000001000000000000000001000101000000000000000000011110110101100111100000000100000000001100000011111111111000001001100000001111110011111100101100010010010010011111010001101000000000000000000000000000010000000000000000010001010000000000000000000100011101011001111000000001000000000011000000111111111110000010011000000011111100111111001011000100100100110111110100011010000000000000000000000000000100000000000000000100010100000000000000000001000111010110011110000000010000000000110000001111111111100110001100101101111111000010010010110001001001001101111101000110100000000000000000000000000001000000000000000001000101000000000000000000010001110101100111100000000100000000001100000011111111101001100011001011011111111100100100101100010010010010011111010011101000000000000000000000000000010000000000000000010001010000000000000000000100011101011001111000000001000000000011000000111111111010011000110010110111111111001001001011000100100100100111110100111010000000000000000000000000000100000000000000000100010100000000000000000001100111010110011110000000010000000000110000001111111110100110001100101101100101010010010010110001001001001101111101001110100000000000000000000000000001000000000000000001000101000000000000000000011001110101100111100000000100000000001100000011111111101001100011001011011001010100100100101100010010010011011111010011101000000000000000000000000000010000000000000000010001010000000000000000000110011101011001111000000001000000000011000000111111111010011000110010110110010101001001001011000100100100100111110100011010000001000000000000000000000100000000000000000100010100000000000000000001100111010110011110000000010000000000110000001111111110100110001100101101100101010010010010110001001001001001111101000110100000010000000000000000000001000000000000000001000101000000000000000000010101110101100111100000000100000000001100000011111111101001100011001011011001010100100100101100010010010011011111010001101000000100000000000000000000010000000000000000010001010000000000000000000101011101011001111000000001000000000011000000111111111010011000110010110110010101001001001011000100100100110111110100011010000001000000000000000000000100000000000000000100010100000000000000000001010111010110011110000000010000000000110000001111111110100110001100101101100101010010010010110001001001001001111101001110100000010000000000000000000001000000000000000001000101000000000000000000010101110101100111100000000100000000001100000011111111101001100011001011011001010100100100101100010010010010011111010011101000000100000000000000000000010000000000000000010001010000000000000000000111011101011001111000000001000000000011000000111111111010011000110010110110010101001001001011000100100100110111110100111010000001000000000000000000000100000000000000000100010100000000000000000001110111010110011110000000010000000000110000001111111110100110001100101101100101010010010010010101001001001101111101001110100000010000000000000000000001000000000000000001000101000000000000000000011101110101100111100000000100000000001100000011111111101001100011001011011001010100100100100101010010010010011111010001101000000000000000001000000000010000000000000000010001010000000000000000000111011101011001111000000001000000000011000000111111111010011000110010110110010101001001001001010100100100100111110100011010000000000000000010000000000100000000000000000100010100000000000000000001001111010110011110000000010000000000110000001111111110100110001100101101100101010010010010010101001001001101111101000110100000000000000000100000000001000000000000000001000101000000000000000000010011110101100111100000000100000000001100000011111111101001100011001011011001010100100100100101010010010011011111010001101000000000000000001000000000010000000000000000010001010000000000000000000100111101011001111000000001000000000011000000111111111010011000110010110110010101001001001001010100100100100111110100111010000000000000000010000000000100000000000000000100010100000000000000000001001111010110011110000000010000000000110000001111111110100110001100101101100101010010010010010101001001001001111101001110100000000000000000100000000001000000000000000001000101000000000000000000011011110101100111100000000100000000001100000011111111101001100011001011011001010100100100100101010010010011011111010011101000000000000000001000000000010000000000000000010001010000000000000000000110111101011001111000000001000000000010000000111111111010011000110010110110010101001001001001010100100100110111110100111010000000000000000010000000000100000000000000000100010100000000000000000001101111010110011110000000010000000000100000001111111110100110001100101101100101010010010010010101001001001001111101000110100000010000000000100000000001000000000000000001000101000000000000000000011011110101100111100000000100000000001000000011111111101001100011001011011001010100100100100101010010010010011111010001101000000100000000001000000000010000000000000000010001010000000000000000000101111101011001111000000001000000000010000000111111111010011000110010110110010101001001001001010100100100110111110100011010000001000000000010000000000100000000000000000100010100000000000000000001011111010110011110000000010000000000110000001111111110100110001100101101100101010010010010010101001001001101111101000110100000010000000000100000000001000000000000000001000101000000000000000000010111110101100111100000000100000000001100000011111111101001100011001011011001010100100100100101010010010010011111010011101000000100000000001000000000010000000000000000010001010000000000000000000101111101011001111000000001000000000011000000111111111010011000110010110110010101001001001001010100100100100111110100111010000001000000000010000000000100000000000000000100010100000000000000000001111111010110011110000000010000000000110000001111111110100110001100101101100101010010010010010101001001001101111101001110100000010000000000100000000001000000000000000001000101000000000000000000011111110101100111100000000100000000001100000011111111101001100011001011011001010100100100100101010010010011011111010011101000000100000000001000000000010000000000000000010001010000000000000000000111111101011001111000000001000000000011000000111111111010 + 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 - - + + - - + + - + diff --git a/de0_cv_nes/de0_cv_nes.qsf b/de0_cv_nes/de0_cv_nes.qsf index 3cdae7b..fdd46d5 100644 --- a/de0_cv_nes/de0_cv_nes.qsf +++ b/de0_cv_nes/de0_cv_nes.qsf @@ -126,475 +126,411 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to dbg_ba set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=4096" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=4096" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "mos6502:cpu_inst|reg_acc[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "mos6502:cpu_inst|reg_acc[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "mos6502:cpu_inst|reg_acc[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "mos6502:cpu_inst|reg_acc[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "mos6502:cpu_inst|reg_acc[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "mos6502:cpu_inst|reg_acc[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "mos6502:cpu_inst|reg_acc[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "mos6502:cpu_inst|reg_acc[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "mos6502:cpu_inst|reg_acc[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "mos6502:cpu_inst|reg_acc[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "mos6502:cpu_inst|reg_sp[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "mos6502:cpu_inst|reg_sp[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "mos6502:cpu_inst|reg_sp[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "mos6502:cpu_inst|reg_sp[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "mos6502:cpu_inst|reg_sp[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "mos6502:cpu_inst|reg_sp[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "mos6502:cpu_inst|reg_sp[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "mos6502:cpu_inst|reg_sp[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to "mos6502:cpu_inst|reg_x[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to "mos6502:cpu_inst|reg_x[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to "mos6502:cpu_inst|reg_x[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to "mos6502:cpu_inst|reg_x[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to "mos6502:cpu_inst|reg_x[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to "mos6502:cpu_inst|reg_x[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to "mos6502:cpu_inst|reg_x[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to "mos6502:cpu_inst|reg_x[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to "mos6502:cpu_inst|reg_y[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to "mos6502:cpu_inst|reg_y[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to "mos6502:cpu_inst|reg_y[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to "mos6502:cpu_inst|reg_y[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to "mos6502:cpu_inst|reg_y[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to "mos6502:cpu_inst|reg_y[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to "mos6502:cpu_inst|reg_y[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to "mos6502:cpu_inst|reg_y[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to pi_rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to po_dbg_cnt[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to po_dbg_cnt[10] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to po_dbg_cnt[11] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to po_dbg_cnt[12] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to po_dbg_cnt[13] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to po_dbg_cnt[14] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to po_dbg_cnt[15] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to po_dbg_cnt[16] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to po_dbg_cnt[17] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to po_dbg_cnt[18] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to po_dbg_cnt[19] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to po_dbg_cnt[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to po_dbg_cnt[20] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to po_dbg_cnt[21] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to po_dbg_cnt[22] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to po_dbg_cnt[23] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to po_dbg_cnt[24] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to po_dbg_cnt[25] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to po_dbg_cnt[26] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to po_dbg_cnt[27] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to po_dbg_cnt[28] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to po_dbg_cnt[29] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to po_dbg_cnt[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to po_dbg_cnt[30] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to po_dbg_cnt[31] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to po_dbg_cnt[32] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to po_dbg_cnt[33] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to po_dbg_cnt[34] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to po_dbg_cnt[35] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to po_dbg_cnt[36] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to po_dbg_cnt[37] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to po_dbg_cnt[38] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to po_dbg_cnt[39] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to po_dbg_cnt[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to po_dbg_cnt[40] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to po_dbg_cnt[41] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to po_dbg_cnt[42] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to po_dbg_cnt[43] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to po_dbg_cnt[44] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to po_dbg_cnt[45] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to po_dbg_cnt[46] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to po_dbg_cnt[47] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to po_dbg_cnt[48] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to po_dbg_cnt[49] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to po_dbg_cnt[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to po_dbg_cnt[50] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to po_dbg_cnt[51] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to po_dbg_cnt[52] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to po_dbg_cnt[53] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to po_dbg_cnt[54] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to po_dbg_cnt[55] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to po_dbg_cnt[56] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to po_dbg_cnt[57] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to po_dbg_cnt[58] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to po_dbg_cnt[59] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to po_dbg_cnt[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to po_dbg_cnt[60] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to po_dbg_cnt[61] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to po_dbg_cnt[62] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to po_dbg_cnt[63] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to po_dbg_cnt[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to po_dbg_cnt[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to po_dbg_cnt[8] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to po_dbg_cnt[9] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "mos6502:cpu_inst|reg_sp[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "mos6502:cpu_inst|reg_sp[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "mos6502:cpu_inst|reg_sp[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "mos6502:cpu_inst|reg_sp[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "mos6502:cpu_inst|reg_sp[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "mos6502:cpu_inst|reg_sp[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "mos6502:cpu_inst|reg_sp[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "mos6502:cpu_inst|reg_sp[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "mos6502:cpu_inst|reg_x[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "mos6502:cpu_inst|reg_x[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "mos6502:cpu_inst|reg_x[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "mos6502:cpu_inst|reg_x[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "mos6502:cpu_inst|reg_x[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "mos6502:cpu_inst|reg_x[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "mos6502:cpu_inst|reg_x[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "mos6502:cpu_inst|reg_x[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "mos6502:cpu_inst|reg_y[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "mos6502:cpu_inst|reg_y[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "mos6502:cpu_inst|reg_y[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "mos6502:cpu_inst|reg_y[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "mos6502:cpu_inst|reg_y[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "mos6502:cpu_inst|reg_y[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "mos6502:cpu_inst|reg_y[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "mos6502:cpu_inst|reg_y[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to pi_rst_n -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to po_dbg_cnt[0] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to po_dbg_cnt[10] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to po_dbg_cnt[11] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to po_dbg_cnt[12] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to po_dbg_cnt[13] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to po_dbg_cnt[14] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to po_dbg_cnt[15] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to po_dbg_cnt[16] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to po_dbg_cnt[17] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to po_dbg_cnt[18] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to po_dbg_cnt[19] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to po_dbg_cnt[1] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to po_dbg_cnt[20] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to po_dbg_cnt[21] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to po_dbg_cnt[22] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to po_dbg_cnt[23] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to po_dbg_cnt[24] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to po_dbg_cnt[25] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to po_dbg_cnt[26] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to po_dbg_cnt[27] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to po_dbg_cnt[28] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to po_dbg_cnt[29] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to po_dbg_cnt[2] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to po_dbg_cnt[30] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to po_dbg_cnt[31] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to po_dbg_cnt[32] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to po_dbg_cnt[33] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to po_dbg_cnt[34] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to po_dbg_cnt[35] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to po_dbg_cnt[36] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to po_dbg_cnt[37] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to po_dbg_cnt[38] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to po_dbg_cnt[39] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to po_dbg_cnt[3] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to po_dbg_cnt[40] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to po_dbg_cnt[41] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to po_dbg_cnt[42] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to po_dbg_cnt[43] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to po_dbg_cnt[44] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to po_dbg_cnt[45] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to po_dbg_cnt[46] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to po_dbg_cnt[47] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to po_dbg_cnt[48] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to po_dbg_cnt[49] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to po_dbg_cnt[4] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to po_dbg_cnt[50] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to po_dbg_cnt[51] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to po_dbg_cnt[52] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to po_dbg_cnt[53] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to po_dbg_cnt[54] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to po_dbg_cnt[55] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to po_dbg_cnt[56] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to po_dbg_cnt[57] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to po_dbg_cnt[58] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to po_dbg_cnt[59] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to po_dbg_cnt[5] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to po_dbg_cnt[60] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to po_dbg_cnt[61] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to po_dbg_cnt[62] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to po_dbg_cnt[63] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to po_dbg_cnt[6] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to po_dbg_cnt[7] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to po_dbg_cnt[8] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to po_dbg_cnt[9] -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to "render:render_inst|reg_b[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to "render:render_inst|reg_b[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to "render:render_inst|reg_b[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to "render:render_inst|reg_b[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to "render:render_inst|reg_g[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to "render:render_inst|reg_g[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to "render:render_inst|reg_g[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to "render:render_inst|reg_g[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to "render:render_inst|reg_h_sync_n" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[194] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[195] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[196] -to "render:render_inst|reg_r[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[197] -to "render:render_inst|reg_r[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[198] -to "render:render_inst|reg_r[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[199] -to "render:render_inst|reg_r[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[200] -to "render:render_inst|reg_v_addr[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[201] -to "render:render_inst|reg_v_addr[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[202] -to "render:render_inst|reg_v_addr[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[203] -to "render:render_inst|reg_v_addr[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[204] -to "render:render_inst|reg_v_addr[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[205] -to "render:render_inst|reg_v_addr[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[206] -to "render:render_inst|reg_v_addr[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[207] -to "render:render_inst|reg_v_addr[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[208] -to "render:render_inst|reg_v_addr[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[209] -to "render:render_inst|reg_v_addr[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[210] -to "render:render_inst|reg_v_addr[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[211] -to "render:render_inst|reg_v_addr[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[212] -to "render:render_inst|reg_v_addr[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[213] -to "render:render_inst|reg_v_addr[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[214] -to "render:render_inst|reg_v_data[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[215] -to "render:render_inst|reg_v_data[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[216] -to "render:render_inst|reg_v_data[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[217] -to "render:render_inst|reg_v_data[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[218] -to "render:render_inst|reg_v_data[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[219] -to "render:render_inst|reg_v_data[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[220] -to "render:render_inst|reg_v_data[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[221] -to "render:render_inst|reg_v_data[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[222] -to "render:render_inst|reg_v_sync_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[223] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[224] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[225] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "render:render_inst|reg_b[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "render:render_inst|reg_b[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "render:render_inst|reg_b[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "render:render_inst|reg_b[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "render:render_inst|reg_g[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "render:render_inst|reg_g[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "render:render_inst|reg_g[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "render:render_inst|reg_g[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "render:render_inst|reg_h_sync_n" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "render:render_inst|reg_r[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "render:render_inst|reg_r[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "render:render_inst|reg_r[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "render:render_inst|reg_r[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to "render:render_inst|reg_v_addr[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to "render:render_inst|reg_v_addr[10]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to "render:render_inst|reg_v_addr[11]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to "render:render_inst|reg_v_addr[12]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to "render:render_inst|reg_v_addr[13]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to "render:render_inst|reg_v_addr[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to "render:render_inst|reg_v_addr[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to "render:render_inst|reg_v_addr[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to "render:render_inst|reg_v_addr[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[209] -to "render:render_inst|reg_v_addr[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[210] -to "render:render_inst|reg_v_addr[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[211] -to "render:render_inst|reg_v_addr[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[212] -to "render:render_inst|reg_v_addr[8]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to "render:render_inst|reg_v_addr[9]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[214] -to "render:render_inst|reg_v_data[0]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[215] -to "render:render_inst|reg_v_data[1]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[216] -to "render:render_inst|reg_v_data[2]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[217] -to "render:render_inst|reg_v_data[3]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[218] -to "render:render_inst|reg_v_data[4]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[219] -to "render:render_inst|reg_v_data[5]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[220] -to "render:render_inst|reg_v_data[6]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[221] -to "render:render_inst|reg_v_data[7]" -section_id auto_signaltap_0 -set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[222] -to "render:render_inst|reg_v_sync_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[223] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[224] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0 -set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[225] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=226" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=226" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=704" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=18452" -section_id auto_signaltap_0 -set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=61521" -section_id auto_signaltap_0 -set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp" -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to pi_rst_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to po_dbg_cnt[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to po_dbg_cnt[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to po_dbg_cnt[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to po_dbg_cnt[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to po_dbg_cnt[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to po_dbg_cnt[14] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to po_dbg_cnt[15] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to po_dbg_cnt[16] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to po_dbg_cnt[17] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to po_dbg_cnt[18] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to po_dbg_cnt[19] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to po_dbg_cnt[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to po_dbg_cnt[20] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to po_dbg_cnt[21] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to po_dbg_cnt[22] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to po_dbg_cnt[23] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to po_dbg_cnt[24] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to po_dbg_cnt[25] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to po_dbg_cnt[26] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to po_dbg_cnt[27] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to po_dbg_cnt[28] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to po_dbg_cnt[29] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to po_dbg_cnt[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to po_dbg_cnt[30] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to po_dbg_cnt[31] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to po_dbg_cnt[32] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to po_dbg_cnt[33] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to po_dbg_cnt[34] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to po_dbg_cnt[35] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to po_dbg_cnt[36] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to po_dbg_cnt[37] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to po_dbg_cnt[38] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to po_dbg_cnt[39] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to po_dbg_cnt[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to po_dbg_cnt[40] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to po_dbg_cnt[41] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to po_dbg_cnt[42] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to po_dbg_cnt[43] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to po_dbg_cnt[44] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to po_dbg_cnt[45] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to po_dbg_cnt[46] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to po_dbg_cnt[47] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to po_dbg_cnt[48] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to po_dbg_cnt[49] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to po_dbg_cnt[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to po_dbg_cnt[50] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to po_dbg_cnt[51] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to po_dbg_cnt[52] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to po_dbg_cnt[53] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to po_dbg_cnt[54] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to po_dbg_cnt[55] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to po_dbg_cnt[56] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to po_dbg_cnt[57] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to po_dbg_cnt[58] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to po_dbg_cnt[59] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to po_dbg_cnt[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to po_dbg_cnt[60] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to po_dbg_cnt[61] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to po_dbg_cnt[62] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to po_dbg_cnt[63] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to po_dbg_cnt[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to po_dbg_cnt[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to po_dbg_cnt[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to po_dbg_cnt[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to "render:render_inst|reg_b[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to "render:render_inst|reg_b[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to "render:render_inst|reg_b[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to "render:render_inst|reg_b[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to "render:render_inst|reg_g[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to "render:render_inst|reg_g[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to "render:render_inst|reg_g[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to "render:render_inst|reg_g[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to "render:render_inst|reg_h_sync_n" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to "render:render_inst|reg_r[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to "render:render_inst|reg_r[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to "render:render_inst|reg_r[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to "render:render_inst|reg_r[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to "render:render_inst|reg_v_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to "render:render_inst|reg_v_addr[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to "render:render_inst|reg_v_addr[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to "render:render_inst|reg_v_addr[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to "render:render_inst|reg_v_addr[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to "render:render_inst|reg_v_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to "render:render_inst|reg_v_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to "render:render_inst|reg_v_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to "render:render_inst|reg_v_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to "render:render_inst|reg_v_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to "render:render_inst|reg_v_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to "render:render_inst|reg_v_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to "render:render_inst|reg_v_addr[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to "render:render_inst|reg_v_addr[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to "render:render_inst|reg_v_data[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to "render:render_inst|reg_v_data[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to "render:render_inst|reg_v_data[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to "render:render_inst|reg_v_data[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to "render:render_inst|reg_v_data[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to "render:render_inst|reg_v_data[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to "render:render_inst|reg_v_data[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to "render:render_inst|reg_v_data[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to "render:render_inst|reg_v_sync_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to pi_rst_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to po_dbg_cnt[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to po_dbg_cnt[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to po_dbg_cnt[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to po_dbg_cnt[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to po_dbg_cnt[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to po_dbg_cnt[14] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to po_dbg_cnt[15] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to po_dbg_cnt[16] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to po_dbg_cnt[17] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to po_dbg_cnt[18] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to po_dbg_cnt[19] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to po_dbg_cnt[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to po_dbg_cnt[20] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to po_dbg_cnt[21] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to po_dbg_cnt[22] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to po_dbg_cnt[23] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to po_dbg_cnt[24] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to po_dbg_cnt[25] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to po_dbg_cnt[26] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to po_dbg_cnt[27] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to po_dbg_cnt[28] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to po_dbg_cnt[29] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to po_dbg_cnt[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to po_dbg_cnt[30] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to po_dbg_cnt[31] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to po_dbg_cnt[32] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to po_dbg_cnt[33] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to po_dbg_cnt[34] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to po_dbg_cnt[35] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to po_dbg_cnt[36] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to po_dbg_cnt[37] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to po_dbg_cnt[38] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to po_dbg_cnt[39] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to po_dbg_cnt[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to po_dbg_cnt[40] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to po_dbg_cnt[41] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to po_dbg_cnt[42] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to po_dbg_cnt[43] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to po_dbg_cnt[44] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to po_dbg_cnt[45] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to po_dbg_cnt[46] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to po_dbg_cnt[47] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to po_dbg_cnt[48] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to po_dbg_cnt[49] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to po_dbg_cnt[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to po_dbg_cnt[50] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to po_dbg_cnt[51] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to po_dbg_cnt[52] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to po_dbg_cnt[53] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to po_dbg_cnt[54] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to po_dbg_cnt[55] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to po_dbg_cnt[56] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to po_dbg_cnt[57] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to po_dbg_cnt[58] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to po_dbg_cnt[59] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to po_dbg_cnt[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to po_dbg_cnt[60] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to po_dbg_cnt[61] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to po_dbg_cnt[62] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to po_dbg_cnt[63] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to po_dbg_cnt[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to po_dbg_cnt[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to po_dbg_cnt[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to po_dbg_cnt[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "render:render_inst|reg_b[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "render:render_inst|reg_b[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "render:render_inst|reg_b[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "render:render_inst|reg_b[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "render:render_inst|reg_g[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "render:render_inst|reg_g[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "render:render_inst|reg_g[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "render:render_inst|reg_g[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "render:render_inst|reg_h_sync_n" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "render:render_inst|reg_r[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "render:render_inst|reg_r[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "render:render_inst|reg_r[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "render:render_inst|reg_r[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "render:render_inst|reg_v_addr[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "render:render_inst|reg_v_addr[10]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "render:render_inst|reg_v_addr[11]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "render:render_inst|reg_v_addr[12]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "render:render_inst|reg_v_addr[13]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "render:render_inst|reg_v_addr[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "render:render_inst|reg_v_addr[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "render:render_inst|reg_v_addr[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "render:render_inst|reg_v_addr[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "render:render_inst|reg_v_addr[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "render:render_inst|reg_v_addr[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "render:render_inst|reg_v_addr[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "render:render_inst|reg_v_addr[8]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "render:render_inst|reg_v_addr[9]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "render:render_inst|reg_v_data[0]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "render:render_inst|reg_v_data[1]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "render:render_inst|reg_v_data[2]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "render:render_inst|reg_v_data[3]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "render:render_inst|reg_v_data[4]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "render:render_inst|reg_v_data[5]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "render:render_inst|reg_v_data[6]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "render:render_inst|reg_v_data[7]" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "render:render_inst|reg_v_sync_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0 +set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=194" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=194" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=7110" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=609" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=8192" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=40865" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0 +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp" \ No newline at end of file diff --git a/doc/debug-work.xlsx b/doc/debug-work.xlsx index 24ee29672bc18c164b358b5a54458d09564de5b8..51516a08cc2e6b4db69afac16515ff52741f9902 100644 GIT binary patch delta 143825 zcmZU(WmFx_wl$0f*C4?|a7b|X1a}Bdg1ZG0TpNM~cQ)=4g1fUJxVyW{#+{Aqm*?E? z-h0mdepHR_F?w`$ty(>6&bcZh(=jH-qml~^P~0Z+34@1&8$^JE!-0c?bGKu2bNFFv z;^1J*>TYir@mWa)!j9W2778=E$3`opQst5QQHrKzTvynw7NYAX0) zFnGC%aAj738ieu&gzmD_%l3DqVF<`M$?%eEJFfA3QwwCQI&>0*xp z4t%5bX!!H06TH3O)CfM-8o4(*Qs|^lkCIVVT`A!S;(QGzdP}NbS)EQ8%%XsUi2)9^tH|hR_O|+E3*Np?ha&zqi^+EjJiJZ64k>2612Sl}BBp<2{!Z04snN~Jn8)v| z>*!PP5X&o4kbnHN(JMAI%qfjj^D!i?odmvI8ZODK5AK+h4z=OysaVHr+i4tOdTDR` zR%q0i36i21z8Osi?Za@G6{~J1pR7Xt-$Eze5r(KW$7?E(@iQ4g%^La)0_chil^7J= z`${SR7rOx$8{SPTz7|U>WW@}=VZ{s~TNe~!2CoGg?R|wAveu5!u-1;4tq1aHN3aap zB|&P~B|#?D0s$mQ8X+2v$PF5fuV}TB9Fa9bhI?N%4ELg-X@WG-$Z_Kfuy8=`y{|Mv z3c}DE3c@gOwLvpvs8k>-6mn$Hi87jQ$Y*lYhR@_^Nt_6r>>zkYWTIddw0aeEUk)^7 zj3@}zOG;6JLj2I*14A}l?6^|5LC*mb?MZ!d>G_hQg#^_f4p30N?80?$-q&#hh97gd zaSK~?p<}2-H)(n%W3I6@B0AT=a=Ft;H$ZKw42gp`do2?5PIFg=Cp=+Xq|c z+~1A~R}>rHbf^fCkwW#-pPK-c3MCXCD=oS?*Bg$kFZl2J6nrhVD3v(+Lgk3L$_Vlu zzu&6?70Nk`nm2_Jr3?J71ihJ9h*|_FXZL=F)EB#7rhGw0&t!xREB@%@@aR2Y`o@`; zzpn`a^ve&O3gGzX-(&q@Zs*h**C~(4fg61K^AvaYTXoBacawH(@2;4y;e*Y1$(mCF zX~TTH5Z~!AUf1`fY`~sR*;}5pb-EdLHfe14%~5{NOF|Z`-No8Ph5Z=`7dG)zxYLU} zd|SawZ4*E1f(DP&@go09b$zw<)cTX0f9QyPCEBZtQ87NMoMJ zjU;Jssx+Wr+LGFPqb;E?ynKiCuS_mN3q(i2SwB0ucSDAQ%O-|{djkgtQbQpp0EwfK zBLfjSoj;a%aogMqVI3Q7NpPR>cVZK&3M$Xp&z<9H-SmFGSEl_;MX z6L_ixey(;eJ9HTNSj_8(X_k zZSIqL-WHWhrTW9;L)FvQHec1A%bcLFytGjJZ}AQHHfq`x@ckt40|IkwGRt9a1{V(Z zDFTgCv<}UAB8l^e-!2<;5Cqix`bh%7?-7y1XVFE5$Wb53TDle&Gt#Xm{7gZi&5t+! zj4Cu4xT}QLFDLSrIs-fY(|oL8Y_szJcaQaQA2-@ts*b5FQ2g@zBGM~f{6LCgqx?7N z%8bdz?B93rKa0FW%*S=jkK0w^n5XG6+8m_IM+o-$HPa2EE>GJ7HvnTD+-VJMCsMp^ zvVUmmsWZ7~tTq=5qynt5h|0FaSfJ1dmQ)$upE94xz88!o$3K3{YO;794;Q|AmlCBT zfNv>YYkXj>(+A9+U8mUU+IG^U8PLw)eVL7LuzYCsqBs8GT|4&GL+tupH2){E8=a<( zs9`=8`Ee2|@1MDK3G;yIo@08W!Nlh14yvH(w=6t@vVv|(1!79ec3e~EUUIQK+ZBcU zZptsjly2>~;2;al8KPzl*@wyalxkjnkH0zN46!ART6be;(`@JQcl|By$Bid5SXxZv(?e&sV zb$dtZtTf*3qmH|Ybeh%wjf$e4Vr&I%^8EAkf_)0i_^nLE8d9Fgk@V)L z2xG%8lb;2y!}KQ(DV^!5otcF_NMtm-y}k3cU)ZLyRyc{`0M7~~5pnCEIJKX?pNdXNh~zu-9n}&7MSVREn>j^aSrmDEeqQ|+$N9l1 zc~amq)Z#UFvU=t1r*Kj~|5pUk&iutEoaK7vPyo=%x_~w@rmdrDcR4nBAr{Q7AeY{g zu|_Hpn0fqJtQXV=DAq^6bk;%cUftZZ|5=3K^tY5;YByTr94;aJJ`Bkix1;fDXotQ~ zKo|^U{!E68Lt9taX7vKk47mRb&;PYCMQGc9Taw0oGR$^+i<&39B25dzS1OJ}NUOC& z*++>hJT}zR(+i}FrLz_q0jJZ5N5@byGkQk0FVHo84XdVjTgczyaC1DIwsCSb^KjhT zxaSd@-;#2GX*adrV%{w8%ExuNQV|YnwYa*7}mw z#zVN<3j9lEhHE!+*U5l(+AQh7J`tQd3ob6iMvgGCAZ;iXNNcO+spSTY+XAEG(-Mw4 z;oV8Q+K6M|gW%`5qR{-PROCyJ1o!fOd{x=O>&cdhGw|oDX)f29igaOBE6bqsgO_<} zHvYEOTVdN6A;&B@EEe2Mo?lqxH+S-H2(QjcKdyGrjPtM!N__&zyb}Is3v|@D8i_R> z$|3))c_o0jxXMKw6pU;uY}`U?)BZ+5wMBG>`lG7i;Lg6<&$^WTbAdbjsn)r}lgks?5i5Wz=zKK1oj^k}pq|?71W|;SF2_{(`1bm_!%+Q;}BQp^WHV;0O zauw<8{-F(We|cy>=gREjPoUfVz=kiYR>@*{O(rr?u(4_xpWS-M=iAP4#7woXq zXPo!Fuj`>*2eqh`(kfN4j=)FzIP(*a7aJIxknYn=0>UnN{C*(&I3^tlp%grtHFb|P z&ubCIKY`A?pKp&{C0phM>TD!}igS6oLmgBwot;&IgoGOx`9F5f@}Cdw8X^@kPHK4cn1@7Qu4H`Q>)$^|$ zQ$#lrmcfrajmTU7<XP$|E;D>eT*clCPd?sj{FGRNgU!>eoSL(o3K!1|IM4-B?QKro&359VmbjC+&8TE4 zfbX%75iI8#RJFmuxcSaMAgUELY|T6sjiq_RMQ<}x8(j9?bhnXl9?`jpUug)6Oic%N z@bCbU=q8*$+F!sEJ15AcGBlEfvz!kPPqq%{<#R{!98q<1%8T^hzyVE! zkdpitz8`5XeOqG3^r5N*aIe~-pM}0h3QA3scKLn72tG#C&@B%dh@&o+gWl5kSf^&c ziNn(O%XJ`-JCDJSmdY|LC6h|}D`@TfF)uy_Kc|VQpq*uZjB1XGQ$F3Y*=*2CZ5(A| zzvt+w<6J5f~s_`cM`V3XjR{afE7ocLUH09-#XR+bo zN0&1%1-J1t$@%L1sWMAMfQ>8M z;jbC_)qum7TnVD!yleid^KR&8=I2~pL_Q2HzyUeby7K(Mt&%)<`OWhXo_)DZ;c0h) zE%NO+N2QO3E@MmYgCq~q0S-Y7lyT(;OZXSMCEMclZr!L0$6GI#xMJpkp5?O#X{)t{ zc)~CbD>Ln(Sv3=*kQL8ra^y))4ewM;cDqvK&twj2SS?wgwqlVda#^@JW0 z#?F8M1%loM0&REqH1;x==XiFkkMMu|BZS`6w|-9+YK&t2^#?1{T04Hy3xeGIK-gYVn=TX>EZg{E3C7$Q5koTLL*;3!6udabe4&NRRD-sCo3T7xH6d z^ynI6;D*`#?!Pn<9yfukV(epi-g3l~3p`AE|Vk zkLBJ`)`5@@<+)1NrL{ZUQTtU83jd0^Bi}=NGs+uL4H?rjT7~aFP73$=BS-1Cx}m(% zVq(&6c|6YC$%MgUi6tSrVI9e^{Tn$1BSCgj<}Hcmta=Jmi()h zqq5f*2^E!lhw@RhLL+#XT<+vDv7-XFZoYe~PNQw3n@+@D`ak@!SCrR^iMC&V_E+sY zX%HOMs{_t1?&C2m{2L9rta+M!LbNbIzrVPTLZ42F^yg@@A&oPCoAOQjl2KX}gm{rgv5pME4*A#~+&D!v&j z=)$Nq!gKfcH&{b_Wc@BA!ujULFsELISHE%M#O=ju=+rlcN@C{)bXo)!r6Ot5T42)< z&yvTN1V>$Ugh+l*b!)<;_=-6SEX6-+N(N&G-0k zC|F2e5e{YSWK&m8`0zFlNjuaGgp@$K?X@ss zav2{tJqBuY9D|T?y7r`SD~U{tt7GB{WRJE2F)aoz9)oYF=US@=w<{!*I!@alfMuT0 z^1%cVSzC{^_TTW^`F#pr=+DS+H4}ToCf?-4(w#rRf+F)L&Iu zN|#vp4Ia)ws9y&Yok6p~H+*%TMZ#R!?)P0hY`SAvLKs<>g*ND?ID_fPcnY5^J0FID zj>kTBH?D7^qqHWKss#R;lz{u|SqZ?Vd*W$l26Ug@DMEzg7@Mm?wEP>7&oJ1Dwh7ZD zQ_Hk{4H3Xt66%2ljD+O^iGpgM02b zd^tOW=Gv93k`3Oe3?Rm!bd@p*lq-`T&L-^C0eMb&Ljt*l1BD*>K&Td^cU zoQ-dw%EQWaE8m#CDfbCY(u6C1%@uP2KQZuv0~Ax#rW-+J4R z=3Z&lxoqbLW8*A>y17ke*BC%jZFpfBMQ`JHcH8CVQJ``3ZHG>c2f1PmeiIF5rU+oO zn3`yTxM?A8p8dH-j$|;PTQf_n4L$4f@#8B6EwZp7w(&x+WDDKi)NB%j#RWB6xZTrj zuUBS^Z!K8tZ)G8d;&fm_Uc?0jhG;XVH!E3^`?9&03UU*EEABb^krWkK6~Y9 z7PwfOyG7toES!}Z$)hsGZa3=8aF&kXnJi#y%*5e=qxPC>=yj{Fmd%}}08Lj4KdjM} z_Q6exC>y{D-F}M(!!nVzr7ZFJhN{kgzh_j#1lE;);%t&|;+`+G-MB>g`<^hKuVQGu zSCr?+tK!SXS!%mJJqPUjx#p5_^tn${Bq&W8%;}hYzlUF9Uka?DRpVg`KE5qjYLjfv zz>;shj(PwOP%1`ZBCoFOCyU|Ud~YX@(EITjMOT(9#@W~lwT-bgHy;Cqw1|!~?J595HUxsxs%}3=6c#BthTpGs zb78Egpvv>VF-4DvMXmuqeRRi=s(Yo(tJlpQYUT(DCJsBX}8J8Pow>EN23I4vI?e)j5qnbUaGCW59Eg{dMejpRD}-3S|Td6gi7vvLXKJ zBNsK&2E!z5f zuJ5jMZ^uhMQAozD)Mp+44J>Aqhr-r|8>SQ%{`*6SLrt`6kLN{~7~tv6W6m3IoKxLN zDYkdtD{tQvRWMb(f#HV>k8ldl#xyLG$^TYyPgYg!Ev~dv>9rxG^zVU7<&kL3tG%H! z2|;Y@iCv2;AQ4roZ6<GuwQASx+gD2PIhzhj@pw^D3Cw<8=98NbL&B$9q%Eo( zAkA7pwBarg*PO{1#-3^+ZkR~Cm0u957qOVzsY{_x?VCr^jKteo2BI}uPh|Z^3J+klE!Dt{w zf`Ls__m2unN_4U*_xGfqMxQ>g1oQSl(%*lh#!OI70u`chD$hEbFWNa{81sfyFq1;k zf9@iSwbuk^B8Ei~6E3|%Qg;fQM|&%|MZo}jI;=b`r4Vw(3};j<-REx2p9U3f8GhkS zJZO_uA^;6tlQ{Lz@_LH^-0klk*Zi&lxPQ6T4VhA}o6zIKvCu&aXylln&J7I9kRV~K zh9F^VZA;KD203mBp4RJ^M$mw#Mc6M3I{Y6Jew#_C6OtiE(vTrX`c@Rwp^S!((Q0al zh|h}v_tHQLDWuGxhFe@=U!^GAeFe zhq0QrtPhB~1cw9#l@T6cd>9e*5eJuqT?fgAoQa zX6EiL`Mh%32TtdQHina}k|-Gd2A#9wl5k}IL`sL>FVA4h5_tX)iWe$wi|<3J2D8Br zp(IgTvwKh)`?BV}so-UpdEV)!iHjfs`pAv_I^MDW72_|1j+CDghPQTsndTcch3#g@ zSCzcn=`j@zt=3nwMOi+Dww6~EInw`p99uE+`>}Rrq>Z{e;N8NSL6Ip&YK3 z(krQF6yGyRwl))xAe*F_kmy=Wj#q|0SgWtO4^J4UZtH-~`EW@91@Q}7p?ZWZyS4VG zCv~y)Umu5}tJNvdr%1Tq+5njEaWmxg+#3G@xLxydM{03KTVdbB$jAzSh{%jM2tqGZ z$fvmX<^gNrAG0XtJXe*EYi;&+%F>5L<@+j}f^ z$h3Bhzi_b1)hm2D4ci?Db8ah0c_876!@>V|2V^55MZ|T=YK%$2B-H-n^kPjo_7^qcipCU{Ie{y48x}DYD7?Lrl20{vhH4*rUbB% z{QSLN{xQ1B?I~66lA#O_^m&yu={C8j(()v=8ME_mm7T>a4)+y( z-LSp;W&cvMoX`xMI-*kmE`+2#D+4>#tYx;k1P)(8CB#qC{~H)Me`X>^=%VG&DrG525nC zQ-c-)GvrC*(|=BlLq&0HLj#$e@9<=1$X%ypKOKWQYGvR&rMDij`xd2_HW^riWG=(Z zm@%(^KN^*OQ1NYDOhSGq^9RmtpccK$cYLjehD6#pI6*z6v88--48N|d#6f4}n^g8C zzG~f3(f18O3}>HVle~`-k5R-KR#Qo$9@m zfVH>S(lsyHLSCU)d}$@ZqVN>4eJ=R5cH%zuuvfg9;@*N&A0nLj9Xphq&8f+NFQCn4 z`$!^b${ZjSDz^|Y%;w|ev$hZAEn~{%{^&8x9QN+tLw=2J)_j_k_&U$iI+wEPl0HyE zqO1Dw;g=digu!D|gFXznKX37@m5e-!Z>%{Id#2c0JwL4}Z?r5fAv>I^4R1LVt{(n# zM4n;sEu^n zNdbEc&VssI#t7`ekoFd$w4oh+Dx$TU2wscfDRusCr1v*$8CdHctc*gql<3e*z z^QMV2)y_n-CEXWdE8RDdW7+MPyjT8_nNAXOujQB3vU8n4+ey zJ)*TU(=F=gU!+q?T;IYl4@#?w?hPbq>tHMWm?UX=@7PFK(`k6)^C_SuH+HErOH+;0 z@GEa9&l+HpATcC5MjVB5f*xGB&Pt?5Ma_}}tw9S{B`Hszj-L=jOcR?oht!%3sB)hv zenI~o?6OmlmqXc(y#8qQ4z_Oeqfor+1_=EqDLsylw_Mv@jxb!}GqW7E#u}T6*Os!i zM53)KW|W~j=L|64$p=c2vegF^{n#z=Lv1AZjQE_}>5yl<$vEs+GN;B`&E0g~Ax zJJSQMyu1qYx=#bFB1cwgr*QrB5dD$!FZ2T!lnVY_{Bl7TztS~WyzNyo($Hw9NHm7P zkvhINN=dLkI_)HZXXFW70TtmBJ_#+?iiz7s8eBRPp33+>^!b+kybtskK%E(10o#I2 zr8_5&v1Y!gYqr?;#|S>9m21Uw0N`~Rh1a$eo)r=*^m{lk%{nfoyN&All;t+226BgC z1t^Yf4wA(_rK3=01PqONJCSczSU(-^o|^a9e7dzBx!|-Ed73frYecMsxNvop1XqZf zH3siB_CLfAT%&hx{Wtpj3x~w7QQx@Hzdy4WdpK%mFkTsWbKs`7mO;h;mlrrv4nJ zT)v^Sn#$M(|E%yTSWb-nfpL3c_*k}9LP0v1PCUDy%-@wf$^I)o^e6$yBVU(nM4LFx^P8=DpeYNwl4-|9ju8d$O_Zggm}g4u!RN3*l#`BU-) zFlKvHUV52jSvOAJ?fuPM!>?U4!7mrs*}cWLB^knXH}6Tb+9)lj`p6wKs!482$qX)g zAqS??qvVhQr=cCERW8xuDHf)JX9jpq;cylQ$r~?^VYB#?JN}Axz3! zKA;cyrhm09V_>Zmtw^6eHlk6XD5!qr#_A9sE%`+td+Obq4M)R6?XGY@Z&CG($LA)$ zkp`wf{zQ<}6sh+0u)exwd7>+T!`w!;x-~2wYa^mSx7ycSm^4Q#2ROZ*V>&DC^i>^2 z(Ar4fa=GadAP4^w*zx5IwNA6kg&c%IE$nl%3gIkK=);GRsOxP3MJK@oFPPH^)9H`~ zQ&#L(nrbnF?umPQJ(oF`va{yddk@`V4*?!W6Q7y0nUJdoq8hX>IgOIQ;O@e31gC{1Y@m;KvXwa{9X zzB*CN!tK?d?)HAz@<%?og$)_G?QhMa(My_ri-*He%!8~pOBdFVAE&^{UiF^& zps74)kYqsQomc3)s8NS0gE{mu+l*+CR2JK>s&<>ZqX3VjbYhRCnc++3)U|^m?iXqT z{O@k5T7{7ES&i7YWAe4k)xv(j5oQxnOYiqb9LiTU6a(U;h=^{*>?lcIFpNA6o3ef>hlN0NFo%9ASIw^q(wn9P z;|Q{}B3HKeg9>`ZO3u)L|7BD!R~q$xPRLgs>oI!pAHp-}j(Z(VbPTcV19Pq`0v}6q zC;WL6^=K|fpa`0}fdOH>=zYg17=q4C#5q2?ULTk0c>p3gzIVmlA8^&{f(l24+ww@W z>y#(&Vm>Z1yyA@D?Vvu-$cD>6bCjhX^YS}E=BGXTH7$eiI2_l3MR42UZm1e||0lLf zbAGTS8QRZE;v%7>@)0;qTbkSYwg-_psEo(uAbbE5LBR&1*%UPw%c* z?LKe>%t=S~<7;?^iM}Mg>4#xP&fLS1zW%)jJ1yhR#v(0$Igt?c?E`%ygj9*_d4wSM z-o(ig=^%Zna1kFXs{aua|FCC9K6p#G=4Ge~0E%VCB|(?hc+qotk}Yx#APzR%H|R)% zN`7$8O&J_eP$v#5VLUDl5?Ux6{643En)7QA2R$w^`pYX2#&=wM_t`)>Jh;SIs@Mo= zy!P+l^syPjL_r*AD1?|VS!2#94%dvSLaGm%KtUvkXO}?&20v!g$I2#1h#k-%peE#s zpPqo93oR?I>|Ug*qm{dnqhmH042VBDS!Kh*3ya~X~|-D)X<2{0v8FKxw)=3Ks2cpE)qy-+WL zX~TC2betK?Rb({Pbkin#Q7&$?sOw4Airn#0y*VXB+g zh$sqXtm9b!tt0_N0Xdl#c+Z`py_7+u6U!*+jCg5!EBI9`4k2@@gqI@TMelB^`0p%=&NGUm?-@b0 zqga@jzXQp-LW38wpzC5jhcr|V(^o^OmQS`$TVS5C`&$;)md!zbYI`G9p?MWJ!FjnI zMi6`p!fW6T0pF+nvQv>?`WlI#{OwrlSocyZQci(OIdF}0sJD!g@9Lm%N;ZM(LD zbM<&`eu}Fa3|AXbV(byUoISp*HS$}((gpH!5w$N(3#>h}@uf6_NBc|7s!rufi*F>a z+iZ|p^j|EKNZSD3DHU(-NA{=m1aGgMqESWjP13Ki(nLAhm8g~$#eo1{OIr&NEL?pOpcuUy95oZ)EOKb~reS%0|}TWf-}w`lpozOcX` zQ4SUDPfGy-p`9CeP1MHJo)Tp7{`~|12kPVL4fyzJDaXksn#5;$<8#~ctD|xTwue!5 zm@s?tn>Vtp8_6^b%HC6_nSlKWbWfOUjNH$az^$T!TU+)4tr|+!Q1`d4yg(u-B64Q1 zjU`o2P*Csi=XbTks6oHJ@ zN$k&jKQ7pDjDXkbY;=wpE6_9&5&d`~woJ39m{jeB5W8U;x7*ERubb~4*k5=Sm(w4U zCDucIf5T#yEgX#;xBseekKIv%b^$A!=OO@XB7TEO2c=^#%N<)BcN`IiiBnDzfQ*aa zUjy$5?=G`okH-$cl4YU?;f=1PE^&P8n2HM+I$GtxfAK5T;ra3PMcu`KO6z_8>$*Tn zgt*6&fY4aU7Y@SzeQS%&Rrs3W)`$xw`#QNo1l3x|-PB2c&cyV)^Vl+vl7IE5C5Sej zc5#jQWbiiroQ7!~#^Nwx1$eF?}+pocnPC7;sk3d-d&>9*x^-TMQ&3Vcg> z!$rz)AeKMI0{Lo5^1~)G&FSsmCQCp$<@{t=WhQDA%IouOU_s4^qkr}+ldJtcAWJ?o z(q*j;B2#h-?Ho)jb1P36uW5avyQL&2SH{G#oqO(^!C=jLo$ng;@!!0bf8muG{ExJLWUMC(L})a`Fsb44DzyaCz$j(mS{-D01CbgrO)(4 zANV|!!SF}c`!y#1qmUa{H=7bm(oXA8Cpz`l+kAvaO#HO9?_GG`8}ieD1%H5&TuMsl zGT+yYIn*-W?t`1!HHjK{SxZm3qbj9Jo%qZWWnaNVW`}`ej!(O@jdrF9bqiR3Ob13* z=n}bT1!G!0cdQmy_(D_upk?PKacRlf2|vl$s?K&m4mD#$qSTM*M*`D}t|b;*tFs`A5;yw>&Q4>`>71+c{TCYcF)B#=0iYWmCy@pR%$oHUhNz5H z$Jse;;FNhbaZT5=j}QD~$sX2ZcK|H^$b0XtHL-_xi~&1e7<+nH9(w++*UqC(2{LX( zehr8dy$7IES-hXd*}#uKc+?4ej)a~a*XlY zc~L%x+8$+oqoVvW!TY%iW=DRMD@%+RY3k>enzJ*2NOogYoBGB5XetYy6=4`Q0d|AU zs9UFNX}-Ugd57QwJxhbRtsP&DEx+%uBf#m|JWNi|lk(wNFr~l&|8LSQ=5T1v--(qr zW6%IunH2A>un-lbb+XoJj1*YP1s-l9wU?c2huVg~jjlV_aUfH7*)pH!znf#e&+1eU z-D0>ttfLV{n*YAX{Ilr|>aCYK_>@e*1e~U2N{(%Fcf1y8Sn_}}&B^LMol0-m)9sM* zmN;bM#rf}#?v<#1I&lNn4DlaUQF^ZXqeMs-_~p6Cd`YpX{8R1uPHCxxvzKlqX=Fe7 zTInFYMc)f2^LLT&^R1Kb8A$t?ncC{b8pFY%5<9#@ar6F^I~JmGGieUEXI^Q7qhNBJ_?zq)stq!_clU(Z1yj;?mtPA;6@>)H^R0QCMq zqvX>}!}0M3zN0(#)NDCvp1o52?n&HX5QadYM6WL7Br(t0ZOv1gyQG#y8XmB(kfNnX=m?q<#yIKAy{ z+S@B;ww5fN8Nc^;2Z>IviKWN9IG~2fu@psoVH78kFV2(uqn-AeYFKQYRXASV3D|8S7}Xyt8+StMB>o0F5X(OpEp#^Qz$ z&l(vKA$xW2P;nGrv9S^RoD=&|7fB>x-@X02na&_~OA7@f+=p11L@;m4TfupI)Ttke z3WQu*_Q_`X8Q%_5^NXaEztsU4L<&iZ9d2dnB(ILD42ows<-j+Av;SiuBa|e zcqhI1AN|Bry|_?U%8a57tlF5+b}v%VktXhp%8$2Ds*M7fY}I?G4r z@>j(Jm{O~Fv==|&W3)|&S#RFLpo43)SC6sZ*TjG$98%>b?VYt4A%Coa>it;tXgdnA z6C}4iTiWV+r$Hwy2h~4d*_wi`+WWh56cF zGKebgM&_pLl1lv__|CQikjq<6n$sNG7gl_1XKG4)>QP)Hg(vW96MvVNh9=c@T~w&` z&YLDS!1jF^)kU=A`lzFzk>sQ|nf%a{0)}3HY5(^lgwF|!V?8Tk&l0EM6>TNo5`4iQ z<)G<0YfdTeT`4I^ldeZCqnlJrrUC-cbfJ%b9Wt|?Gq=jYH;6X?w!>mA(-!*v_Qmcr zkVj#&d`;H&L6OBZ#al0d(N1Y(cyz}e+J=tNvhbi=nRE>gNh@u}BJddv;oCHBN@EQ( zPEzx@VK+ghnh|Mx7Lv^J;a5ZY|1s`XWLg4Sx;6GBOfoeKnG*|Bu*Ss9%+BxrdEk^W zD^y59q!(&FHhWyxWXrClN!GXMWl|yEY;TySUtP0tgvQW%RfGsU+k)k<-XCP5;8O|j zJj4ZWC7VVkvDfO6i2TOFwyk)whe6n2#}ZhljySZYaEpyOIX>Z9)F;r$RiZ+|7Ddqy zqzygcmLxXiHH2#^Ba_t}Mm`t>fG=w=T3zs#XpI!d@L$M+#OXyHMm>?`>P{+1IO<=L z@ZUR4wzq0}a>d4U%P|EbpWfL9mbIV`{xznt3{vau2XD-8GnTY|fBk9fgMx^3IcBlp z3CF(Gih-tqNNjSWW}=4evSC$sgVQMa)3aOT$q{tu`2%7X^y$^>kXQH;64eNLbODs) zleDj|#9`~9>I99nnd0k?#FcIFQO-@)H5TH2E5uQLv)%NNBP_Y)HSriXu--{?Zr*;iR~Y=rRwCyN6#P|gGj zA%Q0I+mB<+6drQe54&j&QTc{Q*);KQNr^yb+c>XY6~XaQn<;}P=x|BUy6MExqZ-YM zi9s9NI79?L(EHylq?wf(m3^n;EA_@=HR=zzKJKg}}K zx9c+Ni?$Um#Nh`OKg6B}41(dD-Mez9#S`)vj|i8o7W5lY#Y0jfFH*TZF1C#{Un;F4 z3ILp7lnou6&*OO?3gp5xXXVUvcYokDzW&SBq|GOxE8KfCfK19$L`gr>F zWfa|)QIvBh-@lB)J*JRy^M{=6D^j+E5-$y0TifmRKwaPN7d&_?yrDp&uc;JN?+3M$ zFaGU)z`p?53_r%`n?Ub(*-o`6^;AX~fy}zeBc~LDMr;7&=QnheGFZan<&N#mED0Vub}OMu({ICbd9Jwm@EQCUpA z_UC!NyU%MK;SbPAgX3pNr`Y38N4d5Eu(9(rcIsolmo<4ZpXM;e6#bv8;wMgxY(VAP zbrO)b>VEXyL1?2gKAMU|h?(O=Nrv3%=(~PJ>qZ3%4x5>Z6~+seo-wI@CT2w#7X`-j z>P;S?!@mv_+D`df_Kpo(k5@~+L6woN4>kJ{_GAD)e(oRhDMb`Dr`O#Mu9RP4+a(ut zYyJNe=i?CW%L9^hYBZjIwm)s)0P}ecY;9MQ;cV@9MPg5Kf5+plbcv+NdM@Urqi3a~ zGh3mfHK&igiw8|5$B*i3B`B)`H_2jx zgNDz{4^W(|Q`pk;+|4ntcB=f;QM1K%KOA?QG4|o%WKqTYMC<+*lre^!9Bg=ZiU@w{ zI%BDzU-g|-8*7^fHpygIeQ)uyU=Mja3hl8}FXtg>k@Iy7u9rZ(WKH}L_U`WUvi*2Q z$bhrS{8872>8j`SQtwyrjqPi&<241WWh>V$y6s7wc-dn|ilrjcx%QhIBDA$nsYM$ zgL4rgW|DvZ7%wb7686JsD80Nu<#g%xYO>rMFX(R>_QR1!&ZyPR6Yi-5&NRO`u3+(z)vBt``go!hZmpWp~Ud%FqA=K*~2K?a8mi9pb~u5 z1KpMAEN?=#?PufI`HvzHJW?yNfq>)PJReovY27pIX=WAi;Q@9ZP}F|Vn78V^OvdUv zmYipUAMJAd1hIXxj1t*s0{OsV(^f|Tkzz?~Jzz#iOQbumu zJ?FhNFV|>Z`-=09`%L#}$gK6{t~G>GzT7xTOlQo1@5|q&Uica}o#_1@y00QKEoJm78ZYkbV_T4OALrGpVB!3E)auD4OWq`S_=|%HQ zzh3p7Z-0_tAouDH2S(W7K8RF>WFEWGBs`K;Bkv!s+g8|wVB0XXX$n0f9j`;YgzBGP zTnOy?isRGMijoqctq3ks$w7%f;HbX@3J<5=f=!`CU?}q3M!*3_g`+L9d`O`#MPX8EL+XH4Yk?phFhoF<>3g^aKWEFWDlLw?Ne&{|@A0*X^2@w{Nl1bzuMb!4lW0RR8Get>6r!EH zCv{i;TjLZahTk*G3Vqu~0zdPp*|m)ahF1FvyPS%||gKiW#TiJ8$ zbE7nG$~Q^{7cM4~>{=!4*1sp&BWirwAr){Gu~Zuc0`<;GflknRo{Ry8iAN;r&U^n_ zIE|zARg2G{|3x9K`eRDvyH#oEy`k}EJ@11TU!%TV%g9unXlhCw^q$SoU&ie)qq+27 z`}&?8A4{FhdQ~49b0DqpY7q=8PCI1`W^Tqdfo;zajA<3md$8(T@Lock9;4JBLT36> z1m{u1kt=f#XX4gr1PmnWp%OEy6(U;mf$OsrK-EL%KQvH_J4A+=C zZpq!Uz`!l&3|6WuIkz;L^oP(J>)TDrxQzxWR4DS!^e`Fzk@a zwyommB&s`lDzGh)yz};nC;XeC1>&s!y;ED=uX(qg8*c~MG8OvGi=wN*>;>5Csr^}@ z*s5w(Bt)iVJu){g=;j3~Bo1jjsPxt9%4sziD8de660(>U)NzJ*Zx+ZP0ap=BA}&(9 zRwvVzF^vWb(d0uT3YFv&)9WW?x~woOb4-?-@ws1{)l~}7MjbW$eq!VLX-T0o=%BM-WQQmLbh zXA>ASi2_&Iblr3*iyU<7Vdqhd7ZSqg#NSf$H1R4m3Y6`5YFDq*bn=-08AJ!RySdhG z$M@5)(fj_oetR(&HY7Itz@wg2mS#)ra4R3|vsq`Th*_}ao%iysXax`3Unr!?^woP6 z1jszc%f>$}vOw;AuD2zFi_G8q9W?r6HD4Oe-_$!k&g8!X=hdJX|YfA0rK`kK2EjD^^E-}eHmJf=q7Y%3JX}PU?cRMTO?xHv3+OTCnJndQ}1-MzXMB|YcHjjNPT z1t$h3YDRY&iJ4x&oEol|58^^rF~JqrsMvkbCqvfQu(y~f z$jwON#?95D@4`(Den0u*~u0TG2NTA2RD@miUCVoX1e>90AZT`R~snc#I$5pq@OZp2; zx}vWVB0T1TbG(uS9y<#crJq~r*{?8 z&hV0DAiARDwV*wv*!1X`UW<86q`7?v;l=I?4r0hV6yr%DcZi_k5h3Aa#4mudrtih^ zGIwud?M%U}ygQB?ckRKS5hq`1I^zw{e0#z?DIR@@z`j&IjO2Sq@@bWtI1ZXDd&m5% zqp^tz4GoQIWYPVs1e2jM_*x2zsjaiYc}f7lJ2Jb1R+>=-h?Ui%BsOmI)47aCS4Tb8 zka^~C00;@5u6+gTG9DM1J2ss;c@-fEBopDZA)i>&fcvVEhu@s{etQrebG5R{q{vY6 zatK@;yq;zseZSKAF!6LbidUuENWhJ9*nWkE3C(o_^XQ}^1te()35xVj*DL~2b!Px{ zGZlEr+u)X6P5sy zT~X>m@iz8>|zoa7$~gp`btaT;l;tI z-XKJvh&_CEgpUfLggI~{(Gz?7NM~M6DV^VQQS664PtZ5!4XmeHd|?6zcYQ;w>3~$4 zoab@EmHvYiaO};6{n?sb$(>a5%l(B$x3}_^6>ZzrQx-`A`T%#s_A>ko&gc(kIr>l< zEWPzEouqB2TUS%SQ1f(Hn&A*S2SRp_^m=0%1J2P|fwLJaB zoq6(^1bzkaCtGlB)okJ)OZ)<65V%syI_o-#|d7xS#y(4BDq_c8!MsmyuZ5t zVxU~zr&mr#77}?;arBam{}SuX=B;ZNZrpu2!I-?R?nyS8!w)2|S9bH=4TI_F)YfS=%v15n z1F`Bp)K2icPdDi|mtLbG-l*uhsGFq%QFC;gDsS`o?DSh;$F^Ls;1z4QHgvIoY+ikb z!Z5?ju$v2H7RthZsi5Kf&wU;0=w*vCFux~H6Z#DDAN%mXcFBjzi)Fd1*K-(@6;{>xPxkSVAtoA`No>KjY8o?N!I_uiSGYqir->= z7ZN+5r_4IaaA~zI6uZXyZizTb=azQt=SoBOTwC8IfavwTCL!nF0C1@68o~Y0@=UKD zrTc}{09;*!dgHwhAxxpNuL-)}tKwy^$&B;Q zSLOz7QBOpu5f9|jL*#l)8{1ZDpq?== zc<29;mJG^-kwNC%xtm(QCEEK-KJuEv1bZ(cS3aHccZqbFr1ZVKw?) z)RXYP)+QEC3a{4a^z`)DSTc3t6$&!UAlK+xy@LAxGeR~d$Ii;ZagXb& z8o?%YjvrF#zNCL0DW$zdvKwzRVcq|z)&F_F8CmLh|Ck8#s?9HrWNXa`bwQ~9By(BK zydNn?iEz5eH@4o|Oi&ePM*6j}+<(Ed=9Pd~Z7 zb1Nk`k%BIcEJNhWEb|A#`Q!*+*G+?RaUVl+_LJs z7XN>D1Y{MkS0EIVt*z355vRbVb#_)8%v9T|g3sWCO!3N=KwhvH&f(V{7;A{D5JR0J zj#YLOCgZE4+UIC1Y(cV>wsh+P{PT-mrE$^n zu1<~Vw#lnAtoS=-M4)5Gyucx*zp^6pS>?PP4{e7uk_G|dSm&)5Big_G-h5gzKavzY zbS9GBfJFMO``s(CI=V>N=<4t+hjGI`;8 zeL)eC68-n+2|-_V-Rm@?C@GM=(4YlKklWnOxUY$`-Ta4HIB z@nm6%O(x#$#A~Jck;_Fp)pY0Scv10*=1KF}59zPJiO0B&N?|Vm#+Nsbg;-x9a_3^? z@lNgPS;x8BSDDb@aXt#@{G!=`4S+nKA)i_F_Jn?k=h~o0H@~tpy@L-1W zvsLA`uYF<(q;0N(j@!E;UL26gaZ#g%op3f}I;Z6hIF8}PnU|Kr_NylyK4#fhwU)_6 zS$suu<$j(1_iH=H&;eb!*E?Ja%8(-tVF(~QqNzR;d|SW&UEDs(mrrKEG_w1hSIdQc zR_-^irzTLrwx%Ak-hq@GRg*k5zJ-mfH~-oT##tco!dP?J&jTEjv=SfK4>MnV!7&!p zYmGP_ARy{yRn3eke6!+C9-?Bv9tUu`il?JD^;N^k7oIZQP?a2SHgdHesimWC_XyPP z)~{JFHx!^RAFb!lx4NrylOOifvYRhU8hq7t)557MQYtQ07MdTgd{eOp3TXzncm_D= zFLy%>f_@!cjAZLPC@Cm7i8<(3kv?&>A8_G&Gcb{)-D>!{B&(>>T*Re0OnmLcH*L&B zfhHrSA(>fYDyI!4WS!EIX4Bfhh}>2t(;-5Z)v!{$xp!oS19Yp3GMbi~2LrC!1Eugm z>c`5HJ=2PpMdpr>Pk<+y;7&pC_oVm(~^|gYK5l{2{Q<#~T zz!{?_wEJ*-ef=<~7>%P%=h5~(p*b-5f45!k%VyW&Ro~9eG86p_GRHPNy0vS!$lLW< zyu>*0;Rvj@p|+<*&xW|TDqpB^jW}_tzC{SEGGOELp$gE_rLBy=+&T#{xHDwI9MW1n0e6z(!k z@5u6woTTbfSDrFM7M|Xt2jr6B9Y`XocdHR^PpifFn(b11a-S@*Lc!r1x^)4pM&JBas(Fi-ns`aQ~IXUp-tap^m_IYDx zx2J9=J2cv(LLE~C*|Aq1G+s$M^CYRf%bBfDx~d_#^+AXc-ll>1w6rqD64cHNb0zNV zB}kzAaazb?<=N<>>bLog1)C~7&uvDA^qcVTynZRyhq)6*z$F_920LpKfo8gmn9;`FwUhn>b?Mjazbyb}YP1;Jc9;YcNI+?cXDSTESv4b7L0~;l2)uZl|GSD? z?8WO~QlW3AyP4vsRzU@-9~~He&}zx$Gev;j*$afQ0ehwO(pQ&{fO? z7R>8iQFX{`j>{P*&w71n(x3REvKm5c_{&tZjWb{2v_8s-JVn*2Kevi-ZgPlRK2HPm zpK)g4u)JEH{*&8U0J*KTb#8MP>9ba+(Ug@KeCF(+_o-<9jq^ipcujjL9zfp!PzM`* z`8V~G4h?09E1}$$i*JLH|e4LAL5DD7QAeRCe>3>wZzS;+73FQ)LS5G zYw60fVjv1x9B+|vUxYT_y}jeho-FqtMj7MFu!sV<-I(8Z9rE}(7v!0gEojqK2&3Kg z-0>-F+Pbu~b-l9y)^s2@_!K8tB&Z()T;Yjn^ zf%9RdA33Kxcw@fXG;Jr*8mxy}__!L|9^a4q_OdnzuC}dS)(y;f$U(dUl#H9wmb5`x z@2R?)J;s>=zWKj&;;8hUUTc_hkA-Pkqt=kTI(=~`sH!+=zZlqabP-KpejhUSzTc^C zGGwC+tsxn_tZPf-8tt>3YVIOHLYw8eA5lJ8e#SSlAg=8eXSAQibs4}x9-V-%fN6F} zNGq1wKhyk7jfcHih{Ie2U242d24N=-bBP+%xSgR$gKeu$16j)t?(~6RK1&|QAJ)H+ zwCh3k@s5ZzWFiW$LomYyPz~)or=13rpGNxtNm&WJpv>?md?rU-ipduw`G~qq3KTd; z{$Mq+)v}150M`2Sb%hKQs+wEE`Nb+v<4pyJW*C!?b0%5IP)ndN*p%ymzPbAI8OIkj zUbZJh$bBu6tqdC(KAi6xem|PLV7pfm5G35oKq-v$L8W5mO0;zILPxytaICMQ^L|#p ze^NM7S904`aQ;<-sMn!cJ41{p_ND`E5nfV`J{g2O?5QeT&+Io@<$)53S*SVi4h-RCKq$8BO)wD$sJqpoaXXf$gF3 z$*z>JEIh02s;uZO3qgp++}5)?v2rXNg<0{z%^K==N1(e%U&ZRU(@X%-6+*m|uNe>I z#jErXd4|aGX{6bFl9h zM(6+9*TQDD_xA1uap6OOTInI={NX1)tLGLLaD@9m`_rYn@zoMA0RNu57OvItI8*ZKvhV!Rh@p z#y9zgxJ~NSU{X^-vSqrh+AqRwlh|jb`!sbU1V?;d)zeMemc_31Ku(uCbE`L~Q6edm z7kWL29FA7C)Ub)U`qN|m^;jF-^wW>#3UyZr@I2wb44)YDo>#FCm^lqEJABRcUA>=) zI60h(1PwlnB)71t9zX>xwLQW=4W2%7gPwRE@qHD8!#E*-yfe%YN16ti?;Hw z=W?MNG|kdXeJ6?vo~4w1G9Q#;MqOqGtMbObYSD2VE7VTF%btAAU|pL*seCuC(B;?p z!tbH@AQ>U9nfNO=cRvRk2X_aD;nFJqd+(N$9{7_%P6*!-%DOcjHl_|I2Flex1sE4* zWT?ll>I|O`p*z-dIeuhDsz|mD7{n2ZW`GM=euhWBx+IZ>&NXt&yMMj!l1vx0(pI9EsdQ!I0P8p6$6tnMhF^V+BS?SU1j4qF2W} z`uF0{O@`-kDM`!PVe`G~oygiNc^zkmk67N<8<9+ZiJTBP&LOM9ofgOgD=dk}x7ya( z+?>H@ACTqZFCE;qLZpN~oez!a=C|C8iCbLg8v6yXa~q>{qhAu7ll~e%J&0>S30$AN z#(F=3*PAss2Z1vkf=j2@iC~>nT{+Ws(kuVsFMm{Gtj@T9U3l~{PF=nR5&LuN&C?w{h!wb)E-g;=P zLb&mLcK$Gc<=GghH?NEoB(g_h(}A(j;cZ11KNeWZjlCf6m=`GNDQUMEu7<{{NQ37g zmVP%m2@6sq7o#Sc4H6fP6ZWp~vw6mv&+<>?i)oPRLrqA&mrHo}Wbwl|xB25NAPAo% zddB4L!3Lz#i+a6^ezO9I?{YzHE#K!zoV@)5@s9!|vKcumdTK_D^sRj4mUROnqkA23 zAwg&__yk1N=o?l4_{wzGaT=#03)6Azs1EDVl&AK~_45)z%k7^@N4#T%-=9Ul6}mUY<2Q119nP+TZ@@(#*x8Wi8F#HBH*% zGe?5NBDzbEF+cK`m%uOM5l+JTtb-m+&97_CTilY($@5mZbirIMlYLqhkXcaYSZ?og zVy+H)rl0T3HLJg9`;+qj7-?=NI<{o%MI|2)#qL{kBF*739}K z8?_35->?AdYg8 zTSFbEcFb6q^L+c5ei|9X>y})6h1%(=qVtbcb11^y^cR{gO(%W(DJeOUKC_dxJLs(j zwXY`R3&(4Fn*JZM^}qJBKhfXT7Ow-j(rt?NLm@r;R~dT~yW9ni8a_SqRwfhi_OAYW z&$sl9TZG3yUKDzxt!2>l^bEfH{3?=XilVijc*vUR=L!eTE7g||_ElJuG*wPx!XaPU zs^SUro6n4b6WP;@sa>2!vv_k;*DBEUuR1$<^V@P4LHIAJ&4b3TH+7q$>G4w7dJEu! zrI38LOplT(weiR{6)thmqYNQmZl}{yHt~1%HZipat0S(;3DfIG;S47Mo(+HO z;g;{_$_AQm_Us+drsr>n=B_^J5**Hc9TbQ`}zs0Q)B2Z2GDL5fpI&2y8ND=yF?xRn2+T-S;#e8+pNov zkNUH{iqPo99$297w}3HY==S_dVHZ2q)=>M(SI79@aB@E3AN^}c2%04E;{?GIlYO?Y zXOxP{4`-xKSeqsK6l4t^br;}20d2_MwT`OkJ#te)2x=V z-AvU=@#aoJ!Sk!s#zHCvdcI`WwCjU<{LGVIw-3yZf^kk(J>#kKRA?t^fPfWR^Yna` z?^M~)Ubem~yfN$5398`eZtsK$tg9^F-f<>a5kDmJ38bOpK6)YaYXK8nO5$m!)8%O zTC*;^kUfw815Ikz@Sylg`s43=C)*B1rNGKcKuU1P|EKu*^Qlnf@58dA!x8ToY$1KN zf4$Q3Ly2QTNH6+?!-X=&vjaP zcH9R+IH>$k`MK*HvaL|2Fmcs2NXTK?{)}0?ZfkU!yP@!&{dVF8j!wxFB>(M9KMWAN&%LqlM`qdc`A7uXMx~%sGNFXzlya$CF zvxfPgCg-@$R#wWP*Zx4TE25wg!QGtkYHw{L#FI*J;$W2nr!@U>Cq9FKuLY#LN$e{; zC${m+EhR<+ogGT5?7k47O>QQjjT)=SDEgEu>!j53&D>h z-7XJvW!ilD$R?$1erDNH9it(QX9e){R&O`IA1Swtm%ozrGk>!Pa(!crU3NI&LsLZF z4?+)WLe$nw&i!VJM2k#`6%@km_y*?dN}qTSl(lU~`l>v2x>O>@jT3h-| zR9rl-JuXeSxjA{e((vP;SF|`yztJ}@hwmj(+e#SBTfIp8qjDe8(`uFxC0krAqNVXI z?|tRduKfJHQFgo}GL|$}@o}#%+R8k5tfP0rWbX4Wh19z%Jjn$xD{12X2v-`n5fyb4 zLAGO7>$zfRV{U=@iP4M1f<8yc_n;r?$T<3p(^Zb!rLec44)Sl!H${)xc&WF%p8AtL zUx_$AAf>c^SJNk6Vd}+dVluu8Y%T5+fXn{BLigmqnl2B2eQs`^5j#B%x44*n&qlT2 zW^r|1`DK+d5%S>!ez^2iSsOHqCVh{FD)D3p(sA><1A>88$XqR0eC2b`O`v0c{3)Eb z-<$VaxA9Yz7vxg4pZ!MI|NUb>AN}8AfC7a=#l*z?+@nA-0S$gCJfM$3K1Jxjgcn|= zZti*QZ-ME)YzrC2ha&j^x48l5%X3ADdzw6aEigi^>xsK@KY4H`YV%m#!f9t(XKmHo z6{cEXy=iJyT)LP;emmX(`mCAckpScKuxI)mGl?HiGbHP%EJ5WtX)j(px3m1S$-@Q?RwSJ|~~cw9wfv&VPC? zHhA7P*qg;!YImVa9X1JfAA3G!_e~XJMdR6!?@W*{_76V z@1eKI=nCz^di$`siJo%`&>IfaBv(aYVLLcc%ctE+Z+s8s=eSRO+w4!S*$zFRx=)c5 z-;H|<+hHB5&2ayb;Jq-4y~fosT?!Cs=ZoU6t1yZ;lfSz^>uL_@J;R+Nny>n;Xh&mw zK3$aKjc0^y*0k{f_DAzW1{r6|UQV7^kGw5Y&8`?U8RA!67z`gP#P@W`0PnsJEN}F9 zxl_Krfr^`!$Yxj{Z&I`wpL(aSUarDp)Kv0%IcC!DN9DjCH$rx_^uXp$YIE z<~wX&?4{4awrr(#4rbH*9mYj_qJ)+!-QsX}MX)zR-D&@;Jq;yzjC~i9od4~3xTwsw zW?y>GR6H2no7EcCrK5$%vtJtMZ0H$Sx;LoTZ5Zb`*evSX0j*2#ssP|A(2#_t>fY3N zv5O98CbIGIcsGx}+UKSc*D+Uz)ZgW(;V#!-9`*u{uG*3GV?51jfxqo}znsXnSNS?Z zvsU)>jmbEiOGRW-Ooudr-ukR?Y)I_toza7BVif~KdF2SEbNi1#q-K+%pmxmXEK80e>1DfjOxNpgT z=wP&=0O~V9g%Z6Dy6#oaD5tgP*Y2fWfFbvcFB9Nk)p*0K)>8nZaky=**&Kudut25v z0s6H(_Dw|O2Z*IAn=8Xn9;0-$G$_7hYu-y5oiIAmbrXctCDRl=EsB($mI7d$Q-WIW zXUl6&89PDM7bUB;aY4t{nRLju_?*Bv=ccpjtpF)W@L)a8jF1PkD(>o7La}9*Hh)rk zFwB;l$-bMuS~OODW=mKI?!%(X<#G~q7|tGW*8Q0Tbgq3AlQ0nhLWY-)UY0>KQ+h81 z<`W`ZnXu@xScLzXK`bnc{I(I#ctghq;YKq_MXK zieDOWVcL-a($hg>&^O zh-p8P)H$%<8TOa#7amr)x?n0Wv9@v}QE?$)1TA{f(0!c>qB8w#FCL-T)A!hVAKhG^$^a$-L2_+b0fKxy+ADUzJ z&>w*r^2J(2uB$rsSEOvouX?I>%uvj>WS66}FX6o#my~X5+YQpq(!Bz+kc{4t$3zZp zeRY2N7Isd_o+P8W3ErBv4_y0v9hQuvwj2U~h&OH4^mBtI;DpSolwv8;3aNV~Pu&Jw zSKeZ4&ixXcQ!4eGLKrkrT6fy{?flf9&()CQ)*ZOWAPpT{h-QK&AOIcUA?dHFnLGgL zN)?7995M)%ohSwbn1(VYeYp|Skuoy18mgEt{&a;s?c2fpHalX7!Dyj^itFGd{{hZ- znMb&r5v&>sc#VUl1LARNUTi)j z1W4lv4wED7mBPz@40ZO}O?eHEt2WyZ*ZzRpTV%4F)m(o2^Z_!x#S!=86%;aIgdb2` z%4x6=wE11a-q9}i7EC;w0c=ywAZ!@A-GI2%a#Sxm`D%Tig;|esv8Yc!nb8JC1oCndF&p4H9K~zl}VhFa{5(o3wxA6dk zS}%6xp8?aMh{vUl+NLlUH)mNk@(xdMXR3>7^YfWRryifz?2bCKfJpSfIo934Gf38? z&cA?XBvDzY-}zQgd{JaJbq~)jn2^?50->R!O}VraQMMiOwiEO_I=FgZ^qA&6kHula z%l=o5WI-a6Q`tz9_2Xj1mzz8BiY(?+WX>_vYBu$cdz!U1y!zP4dR5T8E=K*>szJo%SR>OZ1{d#&`kGq8V-98JB6{iC{j29SotM z9_tn&{d%F_=3*lW*-$ItHNHz}oPVHF1walDLU~4nzCf`%Z<9S|)Wilzgi=o2b_b;g zU)z1v;wIJak{{or2{l+cqoX=hRvbdKXXG3Ai`2e6<&3Srg_pgusT8)|Pan#Prx>Pj zYXEAshx71ha(!rs#dmkr^1Mh>QKo*!?86(oV#YUn_|{7q5o!pb2my zvPa=S-ov2u@EKMZDQP^Gka)K0X3!A%U*BfJ>_l7wS;LVj8?HY(a0)`%J9qAf^5i5v zGyDVoQ4ofykTPPKDcdJi{gFgd-eF+|%)Z`f+&25aM$ve8IFx~#W64tQgg&@~`w;pq z2)Mg=;OcU+SR%GNU;v!z)to~roo`;-|8mKX}Y*ltYWE!BeA@TvRu)BvjRasqE+%x zn3TUKUl(eo!O#m!;-2Uo)C==6+-$eji*?I_Pr3IiiA;UxUMpZp9D-G_($k>WkFm)_ zlaqie{E9Tlr<`qJkt7@OdG2%>caVmTXK`mxbU+fwO6JSYN{?Ci<5WKv-}@6ZPPb{k zCs2=JLUL9-V~RMpq>BFGjc+la>ls6OK=2n1M_Vsai`@?+r2qo9%=U5buLzv~AvpV> z*CNDgac(Q2r04Eu}|f-B<|*R(*|?kED*s}kq4p}kx9@5Xw7LJa%p|W zL2)7xj-C86;MeB07)hZaUh;aG^5@9#dvuZYoy_ENc z+)nKjg?)>4F5)!kZHsuXn`_!=-SJlNCCq%fj%%O5kA7}Kg=qHdLIu4$({T6d$pZd+ zP9-dfOa?`0m>baH;BrT@MA08?&J$6c+S4faP3_pJnrgb7P44??M5-|J)oJ?hNX*=2 z)zrrRwwg-6o(`x^$th<4QJu1svH_f&Q#l6Ls|DWDkc*>|u}OTHrlq$G!F)kb5_+AT zEE0OX8~PzRWCeqs)#kU1cjR@K1R&ZEV~(`z9e` zSB)YQl5LQ3{OlOM+A3;^in9EC*2d7FBlLuS%`sjX(6|ESm56qLD<7d^T`yo-Mq!Rq z`{cE!5=8a7wki_cCy$jN$5V*`Tw87yQWdQWjfashX6m^mOcbhZdXBfaxqEC+2XU#_ z+wD#K<4y)LOa{$s)t$1Kh)~EI=n<-5dR*0F?ukL2IBTKytD>+BvMzD<@V3(F`k=!= z`c+~6toL_h!3#RIA!r^)h}S|{eaS@o0>qu0^7X~LRuJK!)Cu!=YfsHgpW z8tsA}aR9+S&1NPH2$q`~wQa@@I9?o$l<l?F1ck`V9 zCK?rv^lj?2Bd)LS^ksiZ-C1ux*Lz=p(Nv*H$3y|irXt|2SMnVwTfMt8Zi_B~`#YVJCi8k;7aP*& zJ13ggCVlv^p!J~+O+;<$%KICC$m*HZAO;(>^q~r8TIsu$&HF(oUC!(>t92=zJW-7m zoq}g^vTo+QZg@7`_`7069AR=zl|P!t=}$!7R`HovXj#O$NIr8k^AVWMDl3>Up&k)W z!*BMA?X?KYo)dBMW!Nj2!g-T1=aCtObsf@~0-?egy2$Xr{l5?gRN^f)AwICp4c0tHmnZ8XuTH^`kiiJ{x>3sWHE$oU2`}6q5O-FF0bAGhePgKvBfx7;3 z<5^qSj8(p3C3N7y<>|0E;^U93IEhnv6mc%etK92p$W=yH%N9d=K@2S@;F3WB^KvpH zq&2WViMMq{rVTOZ_PX3tU4if(6G}kLz9!?gM*x1JRh| z=0lPBPnB)FOKtcUv10NU@pFR$6;~vpJnm6HgL$5ReBsNEy}8L%bmwoATs%zik@DsR z&_D%aXFUoUtd&{8$}eD9h?lK-H(L8bA)TnM2zhylILI`P*!?P=Lv<^~HkaPYHuwGN zp;1jVSK@2=Z~AJpYKu#tyMV3?a&Re49$a5fU8XSFiNF{IE4EJuFTRW7y_~JKWV;GQ zvA)A#(1Z?{h3t&Fbg(W&%%Ive@O3o-*lV%7y!?dw;s<=LUDB|=@F`=CrvHwtMRU2q z^|t+}fze5?U)Oh|)N-gw*5>M<9>n%RUFM!gYoC31U-QhmB&Qu4XkA}9jBV6ka`1g> zfW()p;6c8V3BAKn(zMnya7zAz&{Opn&;B=%3?*sC!Gp}=?lsDD2hP`>9*&L#@H}Qg zVx~TbHutHy@}qZO*PH~Bs8E6hxYnW z_4wppBbKr}v26!ypi!%9*ocE%4OG;-T>iXyEmieuXGI2ck$ZD=q_~e+vvxsBon|du zFZ7nP?Ln?EWDUM&-nlA3+L^GAfBW`fnPS_W9DkbURYS)| zxg#$FH<}HmlDKJC=5WcwT*r5dv^-C~vq-fXsKRbp8yg+ilc#9F?$sF9^L){$%?t)1 z&W{6c5_{=+YLr=ZPY>xuANP-8q?)rb4SO^K`l(Asur*_*{CP~T8wXv1jP##m{HLDe z_&-g4`M=qC#eZevHHZIn=EaSg{~-n$S6WB;08;Vc9eeRd`uLmCOCo0rd3m6 zV&5L`-Afl`ygTf56Rt2~mD~7x?%q%uR`_|>)11W8zsW4N;op+&F&9p0Xl!7>k6Hqu zSVvVKJnz|!kLX--_HTp^I77oQ0Y~V;CN7!bGLZAqL7l7T#h(1s@ueN722#amg>BTh z%NpMSN(i;x1M7da34kt$%6;IVGjOt!$0t^SwZYh2W8l&D$E3%S;-fIW5ViyBAHSc6 zPv~*{@v=Ma7F_`X4ZB*}C@dVb1cvW!8V_~i@>?u#N~74nrk4*1*%oE6%)UwwlLFgb zJXjWID17N}(hFT=xsQKGmKIPttZ3zF{C#^0ZVZ-C5;Q>&@b#5td;~}$sw#D!{*^@F zg#y|C`X9KH>W(ZIaE!H8p3a|RXt4YLlpd6KvBH3N%aBIMbCK&lg+7qSdr0>M2XHMq zMgM_`U!CavffnU?wQAfJS~s2Y{=V;pnt*~4PjOrm8|!bCa3{xex^m_e~W=T0e_2uVnwXK*Lzo%5%>eZ{eEG=Dg4({_-}ng zfWUexRvbcz1;u8+Pf75DNft|j-p~>HoeMZ&u{n?{|Ns2;`}gBy2U>$KOViKx=4doI z$Nr+dy>)I2=ho0GI^$HkAFZNAU?cc>_FJEN(X2yzu- zJav_rr~JlRF9>Cub4P$j`yVT*p$@#;_fkU1U!F4jDKhm3?%)3F&wL*kg@+o9apXfT zE-vqPD7gQW7vxa)<=c2qOtOoLdbXd3k`Gq#CM7OA1MDum?pP9PGC&?8_LKH+ttYI76&8=};QHJs)JvWW2q|9}P+`Q;gM!xv z_1?Y;6lsVSX-FN=k-ss&(HJca?}rmj*iu8E4Lu@*a2Nt(xCS#(_#k_7XV=vK=VzB! z9U3z=jkX#kBKy~WN&<fPRvz@20orj6XgR~SjNiig zLaM4;Up?Hh%nQ?A!j^w~ao$?G{wU}5j1akdy?}dkMcIO#Le>dB^syc`Ipp*Gk33l1 z{swO$&Ssh;j?MLh-R`RZ_iIf)uHn9Sz%8JnTd zh61v>KL*}Fweo_o3CsKAgRQ=YEZilLc-$zR2(a!Qa2Z{3$ek*c+lD57ujZVY?41tT zBd7paGU;_pPf&R|dW5uP`~41sXG6K@(jcqT`-WeTEmE#pd%i8m4l)B@tBrNEJQ-+_f-+?>wVn_yI}p;7J(?QF2J+ zt84zyc=KMc&y>(cWYx@NX2uesuDXo%{ z0s;~PC<4;W5CTef_W%PbAWBFMIdn51EsY=@LwBgez<~77abDc}JHP$y@0@@5W3G$0 zp19Yu?)zQ~tSa)ZZ=EZ+%cQR7D{&&+-{T|UO??9WSn-3e!>9UZTj{X(Ph**;I+?Y1Zd1kPD;49H-0W?olno+M;kUI-5IU?LB>x}51hj2oxUbshN%Z1xg{*p3(pL{mPcrwj8v&C4bfnZ<{H=rceZxdy_@^N+`M_k0#h7;J5_>??*IgU(}V+g8O{!iwLA!Dpjyql?B$#NmRb zDmL zr&%&DW=$b?(jxl8Dj)?caj30Melc8KFy}o<^HJtvW921CE;K}*9B>FbR=C^j|Lcm* z|Fl25>*C^0e!rya=A2pA)qbYTMF;wzwY60NlTI&`xgL>k;@Nf_)l|2Y-q~#DIS;wI z{J?BWBBkuF&^4Gew=;jp{%O3O)5#0fYk_#4vG7GBrO_l?z|q>Pkb>(|&X1whf+njz z6u*E`M$ufD?PttSX#tnJ)1ATSkvjQ*v6{&1O<$x@EQxZFIl%uOmS0`Lb z?{sc=@HFWy^U|4F2g5zYU$3>oaXsK)f_mp345Q4s*TTbm*+{1QZ+F3|kXoN|$1SO0 zpDXTM9*WH+{TSygp;fRmVjUlvd`a8G(}8Wj(AcU{f6nmX z#12KfZZ+SOl#!MEVlyEsHM`d$$1bP+UB4qu=Rm(XgdFgkQ(y^~=ec#WpTqelM1tGJ z#Xoj!|7WNjxmUv4ezfoH)17t}PKU#_%+LU_tMmnv$s%P~OsVBz3Ve-Ojs!Je4F z*z!~ugqJu*ASBXV@qbQ3aJGqQ@H_&hbmn9$l!E5xKg>u_cRe6~MZUmF054u8?L@SyBw&W%0H8N1^d zCcE~^baanLN`E)@)>a(uklZEl^u*lNyWo9ZUd2W0YdbzFXb{fz#A1Z57%4RzyeM+3 zI*aH=#Gjis2_x?r`M%Z(XqJhQsYS~t0UNHoMNb#!@MM_fmIcTy)F0tqp|Rm?^>$MG zP1(f0D3R`fu7Lg|mcw|RSVHa>`GL|%8lRF%9txxtH>=5^l$2W!2vKa&RLK%(T)P_C z0Q1Yk6sr@A;l^PCN~tSh4$ETiO8a$bvW-9yeK}H=q)b z3;SuaB$G?X#U@lKD;W7m_oxVAwB#G(KPF0~O-H-*mpFSCRY5dmfo=rkXe;gUo446P zTU(B1R)&U#K-cbvRg2v6xh<|P-(O@HH)}jX`{@dcJ##@!rBGwv-Fg0_^t)C5M-xm7 z0SkdteanABz{AQiqQHN<;^1qut_~KP{;R9cyJni>YX8^yn zhQ$j0T;%~8vSlpxSg-08@w|hCR9uunTzj((F!`;GYB^`!*V3 z{!Wu6$0IvC&ULrVtgY9YS4_BJ3nOZ<@>xK?ejA^p-S^5FKU8gMMo z z{DUB2I|R4z*rc_zVujAPwtyy->rYfrbvFE@)W61|E0Td=((<>xg9F0Sx-&Lflj08) z6-@~Qz2+`AWlr5BVeVtx(17%dwouaVuMT_?zs-YK7XH0ATw&aXh+BHt3@ljlQ&aaA11)xI?gW+w>i*Iy3P~msq)>cT z;VWlAICFpv<7XtJyqzC-r-qOwP_p03j1m?te4Fae7lYpC1I{%*E*f9o<9e2+O8T#; zLPG5!%d^9)6BTtkX@fH&+2Vl6!WCN3d zl&I-!Mp|I7)I1|k{n`2iQAD&F-e6%2viwdQk46@Gsw(Tr7}t;mJ2K32JH88d-@CKp zlKbU5S16L#F3O{cyW8TyI2Uqy1+6m&Q!hIeOr<^J0{81qmueJFiZEUDyU|)bVmzpz z*_JpudgXRuO0mDL)gqgFC6;q#YH^0wW4G4@8VC8Vpw@N0xvpBum(TigkooVf?92>q z{YpbyE>_mAwV)&)`B7IM_0Hd#B#7`YqMILdfp&PNm3__k9ptq@ODpfs9Yc^Cd?WNN z$fu>2lI&qurlL@z-IL~RH(AVR!j(XPug;Gan4t2~fyulPQvJwnLh5aL zOf&q|mYsJ$*h4D66Zz#+;YlG zcS!XbI^U45N>-BUX}+Rlmz;Wyc9B$HAGX42#X*6B2eI6Bau~J)wTf8$#)?mLMuCL=vW7!z)<~EGrrMW1CM6b{yOTegEen=%TgN-tfh5`$9fKZc zxw0y1$BY(!hx>NaZ7wH!ok(tAinSV%I3jTyrXIt4V-1$4_)9I^=6?PPMpLnT%%?2;?v(68`)Dn`ODWUe)WH9PT@l3n(eJS6%p3e_9#O@g zi)HOXJubriiyF!z7-JVt(6M&OE51D#jQ7mJj&rw5vuPr_&iI8uYRS}U-EI-nkFvzC z`SlIpErs~h8_ido2SPR#RD1g{?FI1I8Uz||oDHEpo2DoN8;?%yd$pwA2~(eUrr+G? zS%FUhcl0W2EY%)lx0wTbL>bow2zp%^P+b-|f?)esX*|FBJbqwR0RmQN!846Pi(=kv zAxImZf0Ti`xj%(cdq?Tmy|3kO!8`+A%c&fmM<2(UFPn#rz-r1u+Y4sUdF@X=&;g|M z^j(>abdQ}^3=F24Cu=FMq)Xx(r}2zf(`Td4g)IFXE@~K%)1+W9m03=bkYDPTG%lD2 zPm_U(O^LxMqkvn|J@t`nK=@~D^n&nrsfw>1ztc$ABcr|%NvjmR$H8Q7wI%3Sp8C`E zOmKlDs~Y@Ht(RK-^FtZXet(ial<-BaM4IACAvhfH!F#m6q`%!PX3_9^)lmRUz{i2= zP#n($#A!`cJf@?rDn4WP3O9^VJ77c80@OZ>#M58F+50%mFLceIbjsmcAP2&A zmHMN#hFE`&Zf!4B`>u}OVp111Ocn3Ry7al$yr?<&)7FL+c3wRytlQ>1Ss7-e~`8banHqbwa-m-3lOZm@Mq13kW62uq+K^J4IJn>6p^l z$Pt_H^zs$;=pc*yHpG7Op55xsWeAff262)YeVAk0Gd?o%qVfM6^~uVC|F`=Yt;5vw z8tSIg^)aH^SE7t2rY~55(cCJ8LF#2ar+enLJIQM#DKF8>YdRs*7Uwlj?3#SOarat0 zaPS1Ol9#8lP^*n?=BcmpnR z@puucu<|u4Wc}Tlo)lQ==zF9`QNxvlmsi8RJ7LeI>dw=wk8(V=c0s%6LTklw4Wu-{ zx}2omxqGi{F?fWN&%B@A8Eb|D3>BYvm6P(y*p{2kfnRVZUv~!`CBDt{%fI)9{cPMc zkrhM^n&I$CM@xq-rMzsE_r;g~$+*6Tp}0pzk@!_^IqTi7gt}}VH-b>}?O#b>M$}qV z`ihvQoGt+xXXq9I=_AH*CX0u|R|EOcP`min=)D zsf79GFy;;>Cib&uNmiIzw!UO4td$F)HW~=~2!&sW*6B;#H+JPKkZe177N^Wfr16yr)oYr z96(wUA z)Q@vGd^TVHO%Kj__o$gc0%FN@&Bh^X!<$p=}NMW3ENP_Ib0X^Qz;_PdE$ zUf6mce|wZ+S3-3oz5xi-^S*byI3ONdg_=XFn6=8K>#4vhn3igl{REf_Zr4-ciQusR zx{^i0OXD=cbD+3_cb0L9+#t2@`RS(OJjOuMV73TK2(0&lh5+bf;jXuZSNf23o@zxx z$t1_VR;J`BjYa-jBjF`DDgbWB8$6X?ztNMMpCWLHRlefpu6+4dJOu?w$f#77G{q!? zpbdQ+u%5;S6guW?QYnh$I{ zvIh0%elf|qYRPXbW8(Rf!$@M3)FT?-A)uWj!$2NA;AP%GxKtG21Zb(2`QxcHB7L%Q z)PRb1*ZCqg&vjJ9>WM%NkL){@-od~f&KC5%Ns>`0{rV#uc}^E7~dmm9MEQi79tso zQuB5#<`pm|24nrQt1;*8g43$s@g+AU4BU@K5vc6dwT}Q|b{9G;Dtr;9=qmPTh};jI z3nIY85%U{)`l)bOJ=i9&v`qL?sv7K1?QMix(g*V(@G#cCK`hns?p0;qrcS16!6fTU zS7F%63fq|wXHrw2ywtup&9ox)R+D|_tO67%H2L*c0A3Ynz9OyUpoi(qiD=8X?hyCj zw%|a;Vek0>=W2dRezX*~>KUtgt zGv2D)3~e=`IVy=ft6vtidUsAmv9Eo!zwt30K+0*iT5`|NSyuq446Ox0S0oVhqf>Ty ztb&~1caB_t=9e>Znl!npZ2}WdJnrY6IcEt4|A-D!#Sj5FHgz`X1lOmHA$71v7ZXkZ z?+=$tU3KOSbA;sPe1lipdxA(_KrNb1e0FALbs%LDki(jqO5|kTelf5XVYva(sWN^GwUA4;tgOQQh&0Zbj;i3K7qZn>QHelMdAuxcQmb$_Kvxuj+Dop` z#y0Y0`=TE2H53<1Xf@AXA>Jp-lr!2AYuP0=m)Ok;3$=b?a*cQrmJwnv&U`w&9!mM6 z)a6dlcehA;!J*WQPHn|>(S&?r-E=uy!u7L8E2l4+U4kR8Z}|V@_(L{8m9d%a<6p9H z-wC6Nq7ein??dO5C$bMi9~xux=oHK5AwFr3tbWq^rYd+54EF^4k8#BpwSN_H?>z6f zW$@i&3ti?{40GKn^+yZC=+=Gw})O^(=5qNaTmw)ASd9vK&`B)?bv6OSZ$h z{N^^Uy%j}gm$Cg-hI);inB7`c&3_>$k1BCRk^sg(PDcq1l>UaBMJl~<$6AHep@yd> z4csEb+Lk!Vx$Zj7Y1E^fje0i$PVO_+M3PPvlU4MNzbRHMn-x#mmjx^BnZ=JUZ8Bgi zzxbTTdlj;DpAIbOjCxHwmg+wKSwJhGH@?b0k{WT0ht$`&L)bn2>5C2sXMV;O@{T1X zKUzypC6fy}p`Z4FR%=0C03GR>6P*$N8m36`(fB0YNtv_coamTO|LuMeZo~KZ-m7p< z6)v{;E5Q#s`i3s2y{88*7t04HcXv!;`rfK>W%xahUyU#N1)&nd3+-B0LH0%`yk$Nz z=TH`V+g23=sfz37ex1gwaBiwbspVtY`RRR5+M{pLP{l<>vUKAUD0N(h4uNNncdk{@ zSt?i$dqt}i%Fh=6=fW;JN2EH*y2>6`R%Bf1y3!}_IS1@;ntuI#_vgmCF@D+0fEvF7 zAB)9)WAIAj)YO$t1`7@=N9!#7QjJj8!l7fGYh%>voaZMLKlqXUTO&>t4&V66_cGky ze01I#nQHPE?vd~4Cl+o|d}P0`eu;MuTP9c=CFDp~e^q!c6Q8F;&y}$+;6lyYKeOCc zMNXK7CM_ML8q$HzV8b*hZctHD1!=y*HsTaMxDn(^@%fx?Wy0c*KP)9wy$5Fk(bslc z0H}Z>KO`B2r<*Z^$)3}bXDGihAQ<8&DaS2B(d(^AIkH!ZH+Gk}T``oSTwQN-R(KUl8AbAP*D8x8X?=JE)XrG=T7 zfE6|bYXMzJ!bB(Fu7pqJZ)5-at|OF>mpC)qqwn0xU~RVdqhWCKGdq8ITkJr;_!r?a zV8Y-#Ig_ zU~gbIsHw;}n1VQN46O*-Zo_+dwgntkB%hrAReXG%Fy_3@pC|joPEw=v*NynA^L(=N zdZ78GYZ1A*5d$vc^h#b$Toxy8*yT1b4X)c%LO}(%S+}L>l0?GG>@FSV67r4fPfZpr zbKf`nj7QxK_C%EWJU*m&Y_IyI{jF$nHX);{l&}J6)pVn4HV(WIuG#f24oE^KvwlU9T^_ z?CP){Fpth9S~Yf_Mk&Q=<2g|ftfycihShpVejiV6Lfhx`Ilmf;+d0Zud6i9!j`O#; zg!tOaEu90FwNV;}r6$=tCI!7?ym}IgoUiEZ#262> zln2JwYwAyB2Y-<1_3%kLo(Z4$y6QCCX6xHS0h!5dB9p6c%try)dS-d^0u-N*Zg8@( zdL<2y8vO}T8qcr)>#*fqR2xR*`lTFyW^w{h+cL1`?n@SDQz_8liqhF4nij4p69zMj zqoZX94f-m~X*QlMeK7}BQ?MmnKb2SvCo@9Gs!#N{9Sh~3qJdcFZaiof#%P^)$jeOS<&bD{Wp{dX=rGONFz^56LErb6K`#7>~E$BJK)qV}jeSDh{UVDYZHvhnqAaj;Y#Pw;(_Ll^HS({(Nl1IO&MNFF^XG+^oysAvqVL zpk?^^Q`<2PkyuQ@MW&vvba6b=cGvBB+kowQ<+)ljxvi}GxCJy}t-M)!x<vP;)+R``Qg*}zWgEI}XfKb0Xg zc;R0ak14h2eQsp{nW-g7o|qf;ScqoBB&_THNRs(D+QxaG@CfN7&zvAI_RJiXLl!XXP7;-i-G4X3>zUA&$V*#$D z37i-m&_1lOI@)qs>g>74+_Pysts71Pb9s$TN&FaxJf0~1#_5-~gLvIPN-bKrMJo4y zVI7nd!%Kvpb<#CRKg`rQhXw2HGV^R=tvHBP2S~bQPctC`!@#>YN&?-!ll}WiI8=fP zV#r_62O3`u?3u^iZDXsyDz*jMZa9onDR5w8<*u&LJ*!@NEP%8zuUp=)97QwUu`V) z(&5-^d(@?Wd35`A4b9FcZ9jTeGz7GU_i@m zY@(6BuFDS3y(lLxmgzvo*7m>y`5*IZiM!8~rdL-jv#W-24Q&X0MyIGK3M zz7+K&oPWyl-x7@hT?R-o-|dAa3N~eD?TPt$hfRAsyA)s%`(-qgmGNo4i*W`Boy;@3 zbbos%C*<@;WKjiWtnTtKP)J`H{vs5xC;lBRghV%#c7*A8aODZxIBdxd6~-jjl-K1o z@t51mp~Zd1_Bm|W^mlL1zWI4eb6Ti7PAM%LhZw2@7$1nOJ(tfq+ME`2oO??1kboi! zgp@lL`cy<&VDEN@)pFdyC)cOs5YmdMT_D8!2Nd};KjYb7f7kKcUI2M+>e;#fW91)x zMgWjXmOhY#)Tj!}UBKDC^RpEKc`vZ$c|T{~+{gnnc*`>=smzAPDmS+EekONt+nJ6w zF>VGI+MU|$F>VF}w)OMeXf+vvjcnRFPOAS?Tl=#t;@(PYW3O>&Iotc7SxLq-A( z61WTO=RB0Lc2NO~;!#&S4Qk0g3scb3o%hhRT{zd(`o-k=MwL>TfhJm=!EQo{)(YWu zksPT&;WzKEZV{MZKj?eUx7`m>X~=-QE>(<+l(t~M_lUvD_wE1e14+0KNu-qo!|q_D zrb93e*4}#1=7xYvDP^ZqO@;K35CtQZipaWBi;x-q50P|Fst@&RlG6HSsmE-A zz+_QR@MaKwEyE{Bn2uG?(y78uCf)jAXCw+3Dy9}(qJIw&{(FiK0=KG`7e522*%>Io zrKhC_EVyZ7u6l)8G_0qgpuJ$g_AGz?%F5&T>+jjQG(KOFHD@?IL#{NxtF-(y^vVM; z|Gd)miD$XxUP?dIa=r?+90;Zg?xyU~2kUn)0;dH4F6@502XlGO2PE?piM&)#*Hr`43gY#`mO3%H?!*U-v=FR+#-$Zz{%|qa4-p-KVJT1 z$Fy;A*|}I^eD-M;y3;+dIDHIdS~O@_hnOJbj5@C?8smand)T99tIuR1U?opvDU-3; z`}Zy7XB4o+AS=Lt@S;M-?kRXe+|VK?F}h0>rBU;OuI1I4%s_$fB&k=rRq2O-Ha&;m z)u3W03m5qM|2SU;-|ZI1;<52zRjEXIu0^RqnI?|TG}Y1MuSXekflk3SKPF-)6OQ3{Glb)6 z%o6q+EvJ`3F172ViDu>V5|16!Lb79c3qNkk*KH^#z*5K<0Yeq`bU#-kqqK8KKYy1q zMcW9JcSr^pFX%tyUV<8NJ0ltF;D&oy+-R(!0GgCpG3Ngq1M6HHiqtsDuYVj z9OSe>4mgv>kozF4w2wa&{V>V%Fh%Z}kAn$o za3$lB8!Nuf(}YyT&7MyHw~*-y=&cMv28;fic2kkQfPygK>}t~2SDKfWa|OxCTe%p{ zvQ7CIe)qpmjv3*&EcQC@|LEfT$&rhT01EiE&#}asWAEtaN1k!t*fBERvU{rvCQ zUqBs{Oa~MROH7ilvSBd{l?H|aJ-7FTRdkvI!C3Ws@(f4#ta!J8lKSXEChRx=wPrG` zaaivK!ac@gZ+4wJ!SD75Qw@EaDh&jGN%l~7dT(5#G>0_#);aeHR zBv+4~GWxDPsN48)us#}bqgV`U-uanY%7pgOOZhEPkBJa?Y{D2QYyJ|N)PW4JTSd>^ zS~hB%r@5NnHxaO_C1eZ)YT#It54o||8m)+K#pSks$RoBjNY87^y6U5rdmWdT#!~h= z*)u0k_G&omF=$EezrGppTy6O8Nu}klyeh7S_5trzJrls733TL#5?}P0Y*@hLOISqSLU^9Q;|s8!T-HxK*ghumZyy2zNe>le{2KzOay zqax~>P=d9^+k-Qo6UCuYW^cTLBioJIbs~(1?q4}3Hazn+^^mJBxJvw*i8|yjy5WGD zoPf7fquvt8F%&MKFOsAtIqV}@tF5+v*Fr7dasHg6b-W5Ut~Az1pVe~Lq_B|2;Miaw z?o-@FinQ=Zp8W^1DK}<}5v4;(A|FNc4CGpQ?<;wo5c0L=Jja6m_cFr5CJoX96h?Ab z_dE5i(mb~6P_)5F@ofox;wGC>HmR;o&AdY%@npx6!a6&jc~;906HQ&yJ5E*;CH$2p zxS|sKPc5x~3ymaI(^;h!-inhN8>y_3TO1wT%RHG`Knj5tX3vht>gDSZV*Ml~`aI>( z`CvXZonR;XiSY8&>Nn$t32N~mq^f=N{ZCyJE>PIvurG6?Br1F6-O;wr;sm^qM@b|) zjTk7A1K^L;G-!H#?aOf6d7Z<|4Ix4321petV=7v${SgaCAGeMBY(*~=OOW{A?}(d< z1Mo|Tb2?E9sLRU*)Qg92wj<9u#6Nj{^ljJz7s3E5mmgdnR%pARc}Rsj@AA*cWQfU@ zHsrD~`-sO%QTyxu$^s2+jVrlYyL;B>!!T4O<$${SseuW0lWj;|=fe}R{;i7|f3pyN zcZrIU{D8=+arLWVc$buwWoAH)QOBeM+g|K4fz8MaRQm$fKXkD5sQ7@Sk8!=7(_tEQ zAYFr6mCUh5!9(s87+@>t^@FQ$bi`rzp8h*lEL^|G?+5^L=7z%O<}arrx1GFK4Ua&c zeeKlpL*ti%yoXR)ile>Z(`6#izQLc@3uV(;H4V20;T9kS4s0&B5& zBY=><#}_G!1$4VCpCZBZIJ>F5w*Qi^l+KXA`$Z_NbZ|0PZ?+({I|cN5yUa{GrD2-A zps!9dX8<5;3Z4Pf5L&LzT99etUW2Kk>6ZujOaaF~O=&048&DmbkFmaRA#(MvM~xOS zn@5eIsyXwMskV%)Z=)7~cd<30YT(*~L?4V(eOB-Khm z22bV5+W|4H_IIZfQr`0`g83r;J*EM(vkMc`(~tPqPWDk(t0FBv8-|Mp{enYhd*6i> zxLktG4dFH~UNb;g6goNu!3yZGn%aW{T9C@Z`yr#L$)5qCv%7Up3c^-P&Cp^rqf?CR z0B4?k13_jJTbPzei_BcbxMafO;FF`&LJPyH^VvhVpw+?JHw&{3e_g{w$A{vqst(IH zY~p%VlM9~jB?&jp3olK4TB*3uE&i$de#Nz7jr~vC614EI^Bj6nT3$r5IC57dwak3wtj&KNN|!5 z38{WHj5?PynaE|eM3hbUc1yV}*sHOR>;2eF z#lc}X2+|or$9^LUvI?HvjET}R2lMo!cs_O;@800f;!(NQKKm!Fqdtuz_sRn33X{c` zKEhx+LT48i9D$xX$6zdXKX(42TI$w@BAEVL3*O9Nylp0a*YrTR>b6~nei@%q;a zD&CkDbO6L&(S*9Ya#3bCh#v3ajP?YrW!{y$-(TL}^w^i4PA@R*Zek?t_Pf$2`RYNC z_+rtp-e6K`CTh!4S8f3Ar(+GH_Qb8IhRxWF6GuNV`o6(!qwkv|%I+!;!b|iHT3?nv zfDREcldbBl8s7s&5_7kHCsOWG3V8-j)+%Ui;(X}_Y>QS#n4Ddz)km%6_&EgKhWu1l z7Q|n%n1yCIrDa%$-Z!dPE&u2~h`-Q?$}S4wJ*Cl9p&)Hq!)tq`mIzr3zJu?^%|{ai zl*Qf5t8~1}low(v3B1zkX7*i8zW$%%+LV+eKUTdSbEXk)78xMG`$S%Y)ZqE z)C0NbGOndKxY&=T;bFcmKd07m3|hM}6o1!O7nK3FGswoQg_*;=lCkHuR2_VxlGa>9 z0prq6~s;B9&IXo%Q_i$zGq1`OnQeUB|%`L4`L+;W+S7`RGwc)3- z{8IJbrPd>2Vpa8pGemMx_5+0zv39ju&=d9;h_1N-zrzL`uxogA4~{NsQ0+RvpgqR~ z=b--NeQI!?t6Li6K_k>J^+a?yeg}+*{~H*R6=+{WocPf3(Oi|0m|aumk(q3dC)P@v z{3g?aJ-0R05_3E-IsDvq!_<805M9(RRo zI!jz0q=|j0V`;HStW7sk_a+HdReWMuIicPt3&_3c4(Y_c{mTQNpfZU8nzQNgXcQSs z`uq2Nkp9Q+TMZzrm3b469VB7=HAve6FuWt?d7)(-gHqQv-YfkcH71B zhYoFd+c(`Ox}JkWEXWX-_EuKRsS3VT!7KVsI9tzV3ChE``k7tfs4comflj+EBBGBa zuw+1a!Di?yO@;?Wn+|A(_7Qi~;Fz%e_+2d#Ya#RpfqvAZe8=b3Wop01tf{H-*Io}K~OpW6paV(!+jUprfSe`Sk4ZgY_GTV8N7 z&${N67Zh1g(gxAK$;mA4uLM%KVU8m+;V<1&Q@A6Ig};R-p4rGhhwd8~PEkG#pml{s zKLQ(|IHn%^0a6}FIFJ}nc;*vu!ogVjA)4|l7IE-~C5t>A&F9Jj#h~;4+%|N^r|(jT z7~5p;HCaBgJh|1sLyVH#YY@EVPki}LczFp(D&pVl{!X-NNgr(7^N{j*%-mt{L-FQ9 zlR4xXq`1fG?I!Y@Eq4JrT6L(5>+BK-SemKUKgCZ)9JTzrDYWXnFkqN1@mS(XmabG^ zEwfBJ`PUvsf0F(0h394%_mR$IyN$kXmpff-T-6b}6aC}n7w%JeM6)MPr3)y4U{6X7 z-J`nB?^W~guU(zZHwQhdUIRMcZuj(BO;>$~ZF}GEn?dMq6;^ zX4Na)?h(jTI2Feb7edHGoW%Ty>%{t(eDHU+d>QrDW&0uqy~`@JYg|XUs6?`v)`CTu z{>15>a^=QW_g4pPLz$t*FM}S3Lfz(xN^Tjw7UT7Ovo^%FB2`W3r~jd)4%kLrZ(0eZ zUha%S19t90y?+cdEY2QpG)!FnI%JZl060A2ld&JpxMcWTWLFlf_N1cC4}l2&MwQF= zuMq3T7tYvqllt{9ygcSOvJeu`!E-`qZw*}U9{l!5;~wJ^Ahr^YSiV{iz0Og!D?3Sb zDUz(=R6PV0#sEqe{YsU$0qL&&#yE{KKmox>?%iZVvRBN8E8YEze;-1yf)a7Fda={I zu*C9qDVt~7zJDc>;x*uwzXnzDX0_{re<9`$qQ7C)i+-X?i{WBGEkT+~KoC$g{iQ~# zD-Am);Jnx>$EiO+-U0oK<^dEBfM!}-JonG^L;fy~)Ly9(2l1;4xnTV79QQ@C-TXSH z^g4iZexlaL>luf`v;p^K^-vpZUE=Hyl5l8$njLBky%*Hlo?a`2B=hd7 za^_t<()w2S&U6v`AiN;}BF(+yy}qE&Ox9m;>>8@YZEjcwv3uGN0I3mxWwMLy1b4>{ z{=~me))csmEQiW2O3O}u0D#!1zn?kLn;%6+G&evF`ke2ONb&BkpZjF_9;eZFk5V^1 z#(5KIv2_jjcFG&g@42%c<8q%DKB9aMYk$9@~2(n^z;m1s3~Kx-o=O>zf(6=*(;gP>hHnuQTF{i+D|Obqv=C7YT#YeZBz zkhpxCdPB!&f#%77pp08&CTmL;lyUJNMqi-OSzu4_> zk@8sVuTr%(f@=_U8D~Ob?O*<-1SbKnPYZ0_Ib(hz7YvInPKjW$sMO_6!JDv4W~_~K z_@?U+|2+j#EyOT`3t0m{Y$TrHrkWdD6}FylGWdmzI`L!<@cY#!F26M7|mTt%G>wi@xN1oVgXyZ`=l z_;Cq9lv^92%|b4?TVot-gDWPoY&u2kv=3?uWoI+W907#X(J5t04O&@}3#7p`FW*|&`a!3FwSS0ZcJD9upInVHm=yHQnlii6e`#?OY-$WBOx z=6*y>&|)6+n;=j(T!`O1OPLtTz}SzgR&uHci^#lfQ|iae)3LlLMUnD@-Po&m%lGEy z9E~yF^yoEP#vAW)IAAV-I5RXZ^*Tk%btQMg`s=YSN1vrX^PRT3-P4S0F%ToAz{J;wTdx%-KV z#3Odq;~SsKK%qZM2A-2)>(e7{jarpXfNf{%+1VCSW(SO-P|=a4tHk`>L$Vv_f#9`H zd}4t=MM_XI{0C$%SThT;JZen`L$M9BOtZTe#7Mu5<}Sy&vu|V<+(|O5 zyNtJZD z0-&lF=^IrY1OV%*qeqfZ#1d8MXGYI^Qa#BcNJVG!alZwc0l}XZQUwlA0Mara<^18e z0Z;UH;uSHQ_Amgkicncv)3FtHEO^9tuqLH9EQ=|Gl69-yf8a!4{+C{G2gL_gEFd8V z)K>Ln$o&t0Q|SF}pk>7X;ZP^6r6&F0M993bV)^@L2|9F0Kuk=QmR&5>H^C=8m2Won zHOm&?t3OQY5m1Sl)<!l{{>$j*WgR`ztGn| zz}M2=y|;{7s`KxMjMLxGM8FMI49)JuDnEMq%8}E2yNJCd;BLl2xX!An6El^_UvyMp zAT}QKFPNp%{)fc*jH$OV{0ECu0WSqqBP)mh4`$WnoR{UMe6RT?40cg}jGs#ELuE4{tYkad_Vf)V=I_v?- z+}PS9#u4(F?wy^T)}5gb1{d6mk++GJ&bc55qC2DcGo)jq3UtL^5PZywvh*n>W5Ndd zg5X%zAJ39`?L(KOGgSXNS#&=lTOqWDm~Du|rL4|1Zd|;(xKW{iZ-9U{Z$;h`_TMg^ zKKo9%mWnFzB~0YXz=#-fLS_L*qz*@{*`?kM8;oslp1KyC`Qop4!6*j~dLZ-J)eO_s z;T=OVKve%>R=0+i7klHsLNTsn!Zj;`3rz=lafL3)SUB$jd7D>2*o?nw`k4eafgrZW zoBjRKi(r#k==N_SH_2!C(kPZ9I8M%w0=OH)=u2j$Z+En=ewEd*XgYtFQa1Q!mFXWE zJg6HlOYvK@7gl|Bwb0(Tr<`&0LwMG*vuLaY>Fe*TdNmnbkM==|EfFvBR2G>*!0OW zU1=rjr&@HCrM@HH))ykkzG5cbH$bC!KjL8&;AcPCeId-{2Mo#)Q1xp5IgF5rqy3tP zp@eds^dIvm)>=7_f(2gPbbK#Bpv$TOhW&TF4bn^EjfI@*IyoV{nmf$TTUX{ z)@$bf4Kt0zS2GDh<)_2JKb7QuA|Z(scY4Zp*;XYEr(EXY2W#>2wz7 z%A`^jIO!j7z{WTiPnI|ZcAO0lu-BX~e!_+k*i^C3w0QRj?*O7OJa*|TT+W1%59<}% zLX{2gKx9X+$%(si(n*8>hT-iRs`S`PZmjv0HnTvbWtS{6GwZee$auTAbR}XSIVU!O z?^Bij)v%kIN+s>$$3jc&?5U5&2(Msa57}wrG?G6>yk_^+DX-}&f!h$0wg2y^mlZC! zC}&snqtojoInL+gA9xP^%>wZKpgTydq3Z`3lub-$^cJKQYbW6u4&>Cj#tEAksvEB2 zyTh>EZUV9yL2n7alLyww-5*A|ZAAK9eK$i&ZG5+}YVnvjTX0zqwdHHFRd^P9&(5sk zp^vTGzIGURgDYM{xX;VBa)KuXk_;|MOm0pP9p|Yo620JXLylP(^=J-)BL5%4zB(YP zeQW!GAPNdfN{bTGNJ=9JNTW!XNHhp(6IhO!c%6Q z{^*RI*kcB)a3*h|5blV8IHcGZ5ZuzwOKuqt*I1PWjD=u}XT~2_0zA(Te{`> z`{Jcy9!s$WB|g55HA-Y?rg6JCv6{4HN9Jx?9r-=JnBJSy-H11m`sC0ocb zINE)*c!sn0&*4k_k^*$AQsyHL5^z9zrV8k3*eNzdTbep<-4@bN9Vt{TX-rSg?d~({vuX!~uzUEPo=H&yHYw?upjsj*)K=~A z{Y3e20`H6A0XTldLAH;v@0dyP+@DuXa>wr|3BwP*3{|ljFw9$NVns*|&SGB_+3253 zcg2K z?vJ-yn$N5Wm{u$!3)T%BfXuIHH{n_6`r3*l4y@HD3(<%!n-sCx3kh_nh2qhDWeAfi zXFYMHPPK((h}@)b)Re^qgy?6yAReZ-H;f-rK1S4ykBgL;RLSCVYk#$hZ_o5-&jAaq zkEeauEEdoeZhcnjsZLp#Dx_<#pZDf9vu6WldGeuhgv=&hsrd)xGY1x*gydTW-olMy zHP>s`ph1Uyv{j0!s!9yTBuu|zSiD=8$bjj&M8lyCs{iS$`c{yOm*xWcU)o=h){#v2mx*m>5%c&4K;2u@tRL%Il2*v?QgDynI5xT7s6_$P5 zyfqiGJ13qEU$Xt4|3-AEx)@C8Ps}#;%;fAv9ohJPL$Y>b&$sv<+bvPb^lMHs=>(L| z2NC)^qx;v2_v9e9Nh@Rf(;CwLI~}B6gxC#hVB6l1DFHT6{|ESkFA*>!G_D3mdVU_> z!7KVVLGfM3@7Al+Z>LE~gUG&WP^UwPr6tSTA(8Fr7(TXp2wvX+*2qC7g5sXR;?fK1 z^?c#*(Djg8i~Dod1gA)OJqljxbB)(EgS9EOSc%>?*4DwE_x%d# z@dWGMpBCbJ(WHn`fvN8Bo#%8l>7>FjCIJ5Q6MkZk{RuxQ{=%QE!!PkCq^^v{FX)Lm z`ahs2f}*L7!L)M1*wM$WY?&otCIWSifvkz5bTcm`ErFStz<{Bj!VsB2T!3za?w4$P zou}+7-b9lel6+%jvZ+1CHi|P$p<(4#x+OytLllqP3Hg{^@oUblR4ko+J+{?L-<7x1+iLh7yn74 z-O{^TL@^YKk9=&Jl02UNh!#b}X9uu*g2ZHiUY|fj?@G$c-nY zJ_)>>q{cdFZct`?((9!___70TE2?m7l&O$>9+rM(ZcHlb4b{F@ymk309Wq}xiFMn! zppJKgBJaYcrQx>BqxLj{GLD_VbF>7j$@eQ~DO%E&68ZXmu7lRDl~qTDv-^ao{m&^r ziG+BMhU$m#y+Dmv(H;Z~k9D1ZWT~HeNJ@6Zfi3NwHU#n=3R^NeNO4z3GW`rKK!=u@-qZnP;xr9w114U{;_f(7K`U@mNri3z;m&^kly>54ilw@yTbDu3t<*)$OGYU00e+-| z8l-ZLii}34@)CgRgtQK>8Vi@0^!!o?TmYdKlkQh+N!2svNO9(h zf0va)j2mzWK=P!2KKjFPWg*&``IzhdF}C^ybhr+gy!g@S9(6e1g!O!X_I}b>%GGBS z5-vDD+fRdI!EWtuPIn)bNq>>#?(Q~Grxa~He&hNr-oot|XR@%HfM2;QS?$^YAD|H0>AU$@S8b?uv^1eNj>zL-HDIh{`0%_zxK14G$3 zt;Ru{1`eouPb0%wMDr)%t zbNjig>33O+*5$Zh8$k$va!BGC&6o9N zC#L5{#|n>0^LrYX$5nI+m? z>3bMSE26$8SQVA8%~J>Z5@`|jVlAHo^VyGKg=aONW6%UEI;2q^i;WtU8j23cAir+I zU^aL~m*PkUQZq0x++m=3R-C>v6O(C% zt*(AmE$w^GpYP}}v90vLK5O!_#!1PFxJy`DW7=%y#Ua?pvU%ne@6UAmv8KV#NFd7L z|4_)t1ed+#DXli)fj!ifp>@8hgTAcL86!l7GqAt^EA762nRXlD(ChwTaO=XiL!i9n z&|sn1|KxbAwY&v-F+W4K`i13>)VKm4!WZU*#F$XlB7|QT-}9yHd-_}KMS$G3#=Db* zFGOE1{y(0l47~pVHMRuqie?ZEg-2#7?-6zRxBMth2gEC4Q0?aeI6zBlZT@j;*1w|- zf8fRtk#qk+k9``hZD#grwv&c_GXZirc%`Sf15Np}w&=MsUU>Ao`#SpiXb#SdrE|^Z zntR!RYNsTh-*2VlEwQuSiV&a<>S6n%;~%nEz}bBM3(X+;FKC9aH{xwVZ8s^+z}Q!y zQb~}(04O!uTMO0xlzi)|Yw@@2K8m4Z|78x}xS238u=u}zD%g1aSBOX_`puVWZIh^&X;N>5 zp?@U(5~`DtM%>#`y_QE+FDh-$?w9zvZ@fFX*DiUJ+QB`FNBD}j%~%%Y@=E`NW!e5@kw6)$_<$3Km?Njf zywyw$Gu0ip!wZG_X|L2lE4|mk=RYgzE(OBiV>D`{s=bhv`IK3$(UPY=x;9$6FS6Al z%>(qrnZo>5)vUy=4K}QcBuPxOQeBiHjiZZlJ5?Ce?X!0Lukp7xA28d4qCKh@wGw>1 zN9DJz#{M)hs$wy82HEz(s#3hx4&Fi{Zwb)CK6~Xprm8=Ym%0VxW6Kak1B9k4@o=#i zY{Uy5VivWh2l>jv_KAt={?0wnd&F5+fGwf#K@UyIZR9uK9BkV4a;ZD+PcuGs& zkx`fSkF%C#(#?;w?u-5K2oamLp3{oMAG>g?_h-|xZl-1JaEHysK{%Yli}BqDGT2L} zHKBSu*0fz(8PNe4=`fMsVTA8Xc4R#23ulZqKnSNjwk*;m1Zm9hZFEWk?B98AhHjpQ zp$UJxssy?n8Q(rCta_0xIZ;}9sJ$Penrv+k7q6|QTAh1oOW5SgLNyr5t4iXwEIDyX zyc0dl8J$+*%iUT;s4bT8N6ol*m{huI`SjGj%cyR=E6al z&Ci->^V>BSt}QQ%p>`oA%{s|58SWT6*m^BkX;15T!jk7Bai!QCD4(M>rx{n$7bew5 zR7(^!8NlKk7+~nTNseXr_nk00uqurON3T|c)@w9M#(Dgnk$`9?k?$}}Q!aax5$CWP z{_);)o+xqO%&w;$bM^1OW;3cM92>_hlle#0bBc>CBN;7bBlOL>eg z(kv%WgPKiUTFq>ncMwclI&OtMtu#$)-!kz(G23j{NDc(+k|o{VAKIg~Z9%jff=<< z)#<^UPI%F|lIyhDj!Gn#HPc#()_{7U&mV}<2>=Sdsj5Ht}%f3CYoqh0`!X`#KvTn`Y5GTiwb5keS_mp{5~6?prM6agbw zB5^(IbBF;WqaGwM@KP@hByE;Q?wv{|Qq8)MWjq4ShoD^jx>$K%DG*h$Vmhb0Kb7R+ zpPIMoQGMM|?lzI+i~zS9f366D=?XjQQ~EC&s0}*UIpNfrC(;6l4@M&m^va)l*c!8k zpw&6^dK9B~Jgvf8zp-C=)KpjY*>TR#a-M{-S<}GyM(JUneLAy=W>-kXF1I*PwwF({MUbd7QoGh< z_j2(riB0602{QGj_m8(7M?F5D;#FHj$0&c7&83KU$%?TToO-%1$qALZV=F`Ft^Upi zF+Zb)f0`oP66R@sZ#;urtK+z z{#e_My}de{saxyQ>3_M0FX`2Ve0jCffrC>eZ3vpgBr*h};&8^(12OFgBD zo}4Ju3w)7L;m#8WJmsU4YyzcWN1}$IsfW#VUyc)I0u`oC!UYYQS_`qM^w=$gnZ3ijxX< zin?bZP%O*qGy0~W66sp*$ClP81({G$5H$v9I#=%p$6ts>v001}fO0 zax#x!PJX6LI~nMBzJwrJV7u@;%#+11?F=caYMivQTW0IJiHV{v7tQC(MN@HUEzrNr zCFwX!Bl9_aR-?^r) z&f1xU^p36k+QShsDvLOwVKoE8%#kL{`j~aZ{iSC_(zLp#QSY*%j{BA~r24#BZtI^A#k^Y)Q!b?|P7m#bf*XKw4fuZly zQc7O>q$y$xdo&W;H8NjvUu96K2%|c(z^65yRRm-~^`CtLlZgbr9)WKP)A9Ixr4Cab zyo^jcMGWtii0?Kg8Ic9gqWKWe!r-KPjxKwk_JR_S~R&_<_l&_P_Ua z__tJO^xprH3SDMGLcpX=(cv3p|4|xskLrN|Fcva~yto}u$VCW=!MS;VD&%xSQQSWj za?j(hku%{dmQ+S)x6OZS<45G8a`H`x@7jmNEe`J#Tq{UU0*8 zGrqcT3Ba1hT3RvL)g;PJY+^urfN8Sro{Ml#i?^4fNkB1#Pp3G55e#=ZGpb1*%MR{Y z%4glD=Zy#S2+QV$N4)D_ju{IHbrqSH@;LyM^F-_DisM`~h6kASu?1%F^pQ@YDoMvV zW+%%`D{Z)T6^YdQUTGmkBx?1hg7Q7Rr3I+R9(P&$QF{*nZC2~Ouol&GmAZMqWL85P zfGm${K&2H8xV;nXt;#}A`HCeLo+RztoE zhyckD{hf^m(oSYpvVpulflc8iFB|y?juQiJr_o4jW7(Hn0RWZa$ea8~COtK3O_x`? zA2?{Okee*U$y`=QrY{~DhceJav5kS!g48soF-AHI+ z?92C+=S&WVf0+x0;~UoT6da5yJA3A*zxue#J5(W&xZ(a@*tN*2g#=)a6VKNlgvGu| z^*_3>-UfYNZd~j5e!_F{?utU@%?CIUtOI3qoO=khwo^k`a44Y-OKxEXcw|pv`+jiq z_-a()lPlafB4t=4J}6D>Wp}V3soH%Up(m_|dGgQOt}dZ>-<^E|S;ka)ToH^_(q{W$ zL0xhtzTJQs7#O%nO-&US7svSOPgHwuNg_^LFtg&G0j_SV2TEL+CsA{B{FS?V)pg|A zO^AbGT1x(EP6ww{{sr2(Q$05grbdL?aQ_GUhCMZ+vAQQB`5@6R6CNdwE~#0uBUhcA z1nLLx(QVf+2Yii+fjdF4N5MqS}~t7!O^@8)pu8X5)_XMn}0K+!r`h??BV z!-!60WD1ni!r2)k<~+0gfuV_iK>kZ&wBi~BK5tT5R{`6bnJGTd$u;!g9v*(v!LK9( zu_KvAKuC;1yKDAo`$_9*tp~Zhv%5u**&TQrt&_yin|+^v4zJU_Z{HH4%NfEHhtg=S zNBv;Ia+-wOD-hGepBw1j))vDRJ7u6|-!huzDdC_@i1ba9sPVm+nmT8CpCPbD-qDnG zo57hJFh~T>bJ$~3|7Kwlv_NsTQ5T_)LdGDymAFtcC@AE4 zA|^nxaqnPwJsWw@dfOPQ_qxD+-(X~OW~vfeYx^v70^!fkG5Z|rM`wFvm9pIX+Q8Ju zusp)8cbgfeM%DNSOy~`K&9|RNPsJF0tTt#%>mxT~%>#p?nk`ClmWH$I47T}=&^jX+ zq&KMB=8S&d&JpX2`6?Rf29EpevlEIEJFU2&Q8y}jeUD@i_8Ml3- zJ73B>E+UrlmZ!h_gK+?TZeb zO{^e_wuo2qX)JoCkf7GjVy5%toQWY+c$*>9#!ysSBOQH+tgn-VaP zLs&HUiFlkbhJjk`&2-(?f*(J(!~VIUhWz^zFg+cE)T7}aE-3ANLoJG^P1B<;)J%3i zZCoCS0tsCi*vvsfj8f{kI5j=>=I~DY`ie11x(4LxHc2J~`M$%eRTkNjrrPluRS80t z-Rk8Yu9I#U(Hr6KVhA%i-B)^69%bUkuH5A9$PL*t$!KY)_m2>tfL1{ZjwlLNuMFng zTvR=0RQWo+5MR_oUh@6z1$1jKr^8NNvruHt}gT=B>f$?G5Xiv$8H2 zl%M8*cB(EI``1X@JEiKS7>sd__27@6VEwqBiGGs%F(;p1jUDvld-@Ywe z{L;Q#9VGDqBThP=NBd3At^4$h$IJeneihZmm2Ap0$zXjjBp&>N*i|m{IV*9VEwc6@ zMoxq7{UJ>yH(Z=jt)|YIWaHqVi}FIKM?66I*rFdzv*_B8UVfg>>lBu)0$_Y;LL% zuFU$fDCsO)oxT-BcILpZ=`$@pkx;8SMtEOezy}$7zjUcIr(-mm3eO1G^R5=lPOiES zs)Rryq2kBwU=w~F*{9_*K0H30qOK_KZoO{mnNI(O+vjthRUglTG3&imbf{KrnZeq8 zGk3#e)vL9Boze+OkXEBQYlb1?d2>m20|%|{4O)jv{9Vwel`Fe${bntz+)6!OE=#MG zOKd-c@)e?L^psy$zq+kNbG$rnAyLaB7pOHH0~?0ZTc7Xqg01 zhl5{TncZF3~y-X-;wJlWP^u(kIN!9nu~Z8B-G{Vaw8a(9H%b;rROQ5{*V9=uvJO* z6%PP@TNU{*U}vhOjX8Bw<(i=+4Y#AN6al(BsW9D;BTGb>@FIMWq3($%3*(i5 zlhq$?Po3*U8uQyc+j;7+?mefe$OI}y=R1GSyLh^(EE)9V-{EwcfP-tfOSHz`bQH{j zTDlH~#<%-Q4;X#WIv(Byo=oEy17XK)mJZuw+)?|6Y;ET$2IB|v3-(P*!-n0qD$XoE zfTbK;^-6*UY@1{c4?RenXF4QkJE_yR!w9B=h0J)sZfZx*Oa8|)+#5eFV~~|?Sr$k{ z#q&{E3Uq$@^#e+`62#48J&>ZL8`8G{$Z6Y$zDH2;PH;;{z9n8~l#rmQ8f0=5lQ#X7 zn3~imAtEX1j@?^9p%SMX8UkfAv8S}z+1dT}wxTswv>^uVqo|qzWBvBGt8>GZ@#?+9 z@p*@uESbITyLU>hz9R-wXDZC(Y})uk=0hVKu;>;}+W<~^P;HgkoUj|HtI8^83AA3o zQ^Vm#rxnoy%!P5!}d*}!*+0~ zTkD}uJ54jP_Fd(Mqgd|bD^YHziNcmi5BJhX9u+&35q7Tys9@>`>6+@ktDMu71Sck# z+wig&6Y1en(6WTxU?vP^fO6*y%iSb0@LjB_)3v_hAik^vmgmHQR;fiWc~%qf$50LBHUB!@-o?YPG-s%B9kcYjx{?9j^qd<<4)Ag#$ zFiQFeu0QRd8!|3nc@h(c4X&0lnU3ehNlkTBS5A1FU^b|vOU3%{mvikFvi@@sONKZ@ zw;jb)Djmj7K7YQJrNVuEqITTsWhu))F8|uCZ(*M~fXga$xPzuqkf9j!zt^Aj58e zt@RQrX@+ zrfyAGi0`#-)8jw=yO9BF4?PQvh8irA(``n+bz+cxDjAyooV77~mxBv}YbKS@a=}hN zr4aMA8^t5$*3j4|3nEH4CYNrp(Ud=R=&~Qo>H~{Zb>cQLs$lFLVzNH;H~xI}KDX1j zkxm6tY=1j*v%ir}9e9$F;)VMeF@W`xNR5hF7!rqy$eR zQ#cd^sw%8rGMfl^KY+in>BsZgltHihavIQ1BvrW^iLU%9mwA6FEjkcW-anb9dPc%EaMl|7yX7cV<_u4k(h z3VmWrT3M&1m#QHX8r_R{dw#0haxqOX2%f({c4-7`-cN75@MksYJ6=u% z?J5idH`*)XuQ``FH@q6xJtj*we02Cg{jr9^QpfNUvGKh{A<9?vBl6DSTmFXn)j!ji zMz))XnDHBkerK?WpUOz2Z&sHR_y?!!U=6o>W?k(0wPAUrg0D04^>p%0R|B^OUD7RT@ zZZ2nX8!9M3gZ$k2Lk`EDdXe+yf^OG#3f&JSc4RLtc2InfRj&oi4cQyoIx{yv2@MBv zNlk z^2~c?Zdkg3)91`w$wvg1b8g~>>U?&Pdmi)Sx7aGUEDoTeeI(q1 z(tEl`H&4m`nLt=AC^Wde+s5}H6U_hgWwRXurI7wKrP2LjH4X(*PsS|xaL~+pZhYU_ zX$vDbycrU-ziX@%$Oa@>rCvpp`Hd;sKMdo>)4~s(!G|{$$nvunch{6ezkba&H$!f9 zBfr^@5Mbt!Gt#uC+W|`l!`nQnRSyrJx~-UZx+tSoRiflQgI%1$TF$!1uOVQ=bG%^b zi;Yq!knoshD1w}|%Q(c+z;zt-ycO%d$MZ#KNqUVg!+0?8L|!IGCgi09P21Xj-FjZF z=?tfQGDnpn+&Pvr6UZ0DnI(>9T>IuA^^!Q?tN{&y&0 z>v}bXTnQ%XX2uT;FB{7-lNnTg)L{U8(--nI&IM5J>25>Yhun1O^HbE$J_2+;Cw(#R z*|MK1kl%V%S`Iq1Cy_+2OkOZ<*JJk6FHT{Y^UcjxgSr$Jd)pT$RTH5G?awJ}u}Oj> zZiRDiUU!8t>!+Oizj4O02%8umI(WTtPO>gDXctB~<>vSHa!Jy%jDJisn6+Ab<|uk# zX9zM}PwMvMij51lsn?VUdhE40+_otD$b^i5sDmJ`h?TIzGTFW4@YQ2LPORqpxZ_>2 z1jV8EXne59oYpMHTF%Ei^OG;7PRPyn9^d&F^?PdFt{C#NX8u#V>PF#?*4$h1+HjAV z1TEiZwUs6g^OHXPV%nUs{o-jYle5t#oc^GaVPPI0bmMvKGv-MQ0HpBvIF1I>yGsCR z#3m+C+Y54AWJ13DOisa>#X|*E3do;(1Re(6c}|uqD8JtDXLy{wsI_OcR#i#La}{OH z(i+FgovJL^x1D$@qz-c*dt<4>Eiu7Wm zMuade(V(I??akDXEpQwg%C{2jd(F_uE*TAO3U4w=?h{Hncpl#__F4i&GsDhC)+=*Q3n-bR&IB+Dd~k@g@E6^({#cpL=CRM<0S!K34Z> zzNz$n@B&|CLmP?DM!V1GPK8%UhtVQlnR`EdrMXJMa}561x)DmN*d z3V?J-;7}(fs?68XvsF8@*uRw#4%(i~=Imu_(6f39O6_49OVB!g_eI2*8iq=<%=u;? zam*%7>;Gm^yuRIyPCuQ$mc4|J(=7>~kc^Wq!aS;3SEZIG&X0r{tuh z#AKxei$eqh<2fCxPnofS;?W6g{Fm$FxO4Qc^%%L`3s}U^>X$&8^0po4%5;Hs}o??wgAlF?hgyLvx;<-rn5+ zOi9?+$@(jyV?{N%B7}ip*kH&7>HjpQ?jc9(=BGv@BZ5gzS9gA)T-_S^t99?gG2jHF8EXGpTPk$kR2lvX&4YkUQx4Ghlt5ntQl?8L&DP zB6ZPz7;{v>2TokOMpuYA>W%w!LoUnD^AvgQ1EIa!0UF4F4~|P*4dy>jRJm6Jd;If6 zN7HcuN9&p3I+*#)-2M0T2BXc&pRODi6_;^PFuv(rpDZTvS2WxM@X!+w z7;>?@muW>nT0}%dQbe3FDu9>-VU3U_E^xL6m4PBVxCT)CZhn)M{?9%oX4_p~N)bTP zhciPK14t=AB-h;D;JJA}V*A>1QdOKTBq<~yM4u4-4%{W(ia68&ZJ9ILFu13K-);rU z!LBB2(&41~O^qz{HdI?j+|M`>S0BFp&#diQ3H#4<;u^AqbSQ9_jIH(XORfr4dcD`; ztJ9M(6^;4VGvbit__rpp4?xnipWfY8lmMPmb}&A@!}44;ndAYB)^^tSX){Qurqzfz$O6)NysbjvOD`j%Z}bV97Yix=-}( z?`c)ZyUPqtmubSGXhR(=4oZe{)-K>do#x=qOktsaKNm zG*#{xH(3v2jAGd$vJ9 zybQ<{bFU*y>|@>zY~A%JBCxoQR9E5%g*=0hl7VP(B_VI;UoXA4y~8GT+9Ukqdec*x zI>ZFTmx634IQfLXaW7*aO14=QP8VP-xZ4;I_JZ!O3_q}i+(mp65~{8F>wwI~wad?SkR@(JI|ij+^kAe< zzE2OIFrFQCm-{bkVOqDd)Ad1QUcpjFJoSr=j0R*A#naz*JXZS04?=VH&lyeo6$+Do z+ayC9r_Qxhc^drJfeHiMMCJ^YwxEsu-q13y1EE3hexL`~@d(wH*SLz4*XH+|A`E(^ zHsYqv5*c2T-C@Z@!N$IFC|}RuKKE1Cy~bC@okC4cpr%a`jjx7dToEi>8`>Z>u>|ik zN3vFL!-Im=VEzuU?dEIqi3N`hq4)30z3)bPmf2Y#C?;&e*0aOxvjdwr3|pndpzLA? z5KB(eTWYg(!6eMeU2Y#jil={@C4dYti?iYjiNDNZO6c|o$2bXeU*g&{LI!l0tC)7$ zF2W$ayVA9F{$rUQ<7aPWw<_s8cm8#5driMz@I76Uu1~bEkSdAsLDQY~??eo9{=J_+ z@7`w`JQ?V!yAjk?7x~0leWZUfRpRvBd&Bl5#WGv|0;pa)&|OIx6P^VZQt>tR+zV7o z4_j}v>}{i>lft&wZJ&>JcT0oj_}vz!UIlhVaT%)zKp!#Qq%Q{_LTR%G{lt7~91gw{ zWzE%n@m_PBTn$yo`F&5dcfWf$anrFlGXKiK-|!A~LezWyu4>CJQGRw^dU;mFkEIjo z>~5+jAHJp`sMR)pU+esB!2aT0vMRrUC?ecc)!*SC7UUi(-Fk8;zOb9AG4I($aI*6t zrIAz3Ff={0NW#iG%YRYmeJcA?c6Yo0TP?w(BroU(J|q8&a*Ec_X!76N!)F8FGwO7} zA3aEaZJ*wxNDJJ?gT+A@Zwm1{lX?F0qh;tMGnrs$Vv;kMZS>AbZ&;gJD|vYX$O!@c z8cb2Af1%R4vqTof+~K@l;@!4d(bA0}nn?n1gr;gIHTyrp+IVptr)d6+feO9)_x6Hao*OE4OD{j@$vm12=DqtDba~= zVeb9mP=i>PmdT$HL1b796Z|ZuF27nH!n;^Z6eZs@T2816feV4SUkpK#gGjD4dPVBQ z?QBe&&Q&au=v3pcvHlY?x^-9c{;d%mU1N7`TlY&Kl@SzX{H=V#E-SCq*7L`azPmM_Ek;2R|wQO1Jr3RU)qIvyRN1dZgM4p`rP#9AO z1nfiCflCi`eQ70rR{y}tn9?NnDFVNKmmiB z>F2XuQbQ8WQuH`;{pN6KcM3(BP-pxN%*sZCD*ytDUV|=>E$+UVm)DJQ$UZY5m^lMbNxRWm;_K*gdJ zD)TIGj**`CbtB>NuBAHH0sD4`a-YFc#C@TUa2s2f$m#!auRsKlM0yzk+`*Ykd61LV zp}|fD%aag-o-zl;{qe^ITItE-7i{?L7!%Opna1^&?faWfZx^d0+=#&n(*5b1@4=H8 zoWr( zoJJGYjyJl97?XXXZO=*~Z%BNgQuE_mw#>1AE#=ScWT+0;32}TlL>T$&3r8{r4zOjs zSHsc`{Wq^p=2azZ|AVg-R1%Y)wYfC>gemFK9Y;o`@FL^tDw>UdU1xOW+nI(NuPJ^X zKz@{Ay^egoBzuTmk<)EHPC_)VHX@w;QAD22Yb+wo9y=klByL3q#ir^K9$pp}D&KUY zzPz{5_zcg#4ppZQPZo?OwkIBZ0kwNA zKVFYG3Og<}@<$ESz1&k3Z04ki%xa?_-ti(Yu$%l+n+Aq5XXotSAN96p`DEylzzuW;Xm2Jp=t6J_9R`6ylB=IX);w-{ltl>Cg3+ev1&C ziBL1YGzg0pcb+Hzn+T!Aj3|Odm5xs1r!OxJf^1QI-QSYFl}iFn|CAz8b8=LcqkV~> zsnNKvpZBwkS~<;w>}~hLhCowuW_2Ai28Bh8(8Nddj`N@J`0qF}M6hNRc&NSMdZ$cI zjEWf!_|xFVZ*SRh=`BS!@cd?H|o^a@E=!v~kqtpzm%7)o%xy4{uc|$jc>%L^)`LSSp69U{{CsHiy+t zMxJt6;-Vg)YziJJC*2GbVu?2C=lXexcPD|>`NsbUU*p%}FKLlsBO4m@Kgt}@paJR6 zEe>wCoDF#TA>%M}yKUo9Elb=}$zU!pgn(aZHG~<%PfNf02ep{L79gXU6UxcyFeM`x z6?A*ucd*kIN6H^L=%s(PXwW& zi8mUp)M()zOhYQTEgH2Da0v90Ad|@l!U1=K7v6ZFUw$Hx3lESL@GzeHoqeyCb43uj zYH10$3UtTzozE_Hq<1_StW_!AV#=ju)dnNJ-yw|SVsmA8{t00SCBHM@M|puGM@-Cs z;aWoPPn_V%H+1LyefC|7``MkSSTCNk&HkCpM>#U}S|Hm(?GuN^>G#Uc7`T$X>qpSL zdCJsTx|=tj$em=zg_4!Qg?h)JqQCb(84Mn9p@Rl$zgHg=|0<7By(S!ciruFb$usSR z)~ATNvKmwKR|E6SuFJjWHEGtXYvIEcD*^57*nE=;U0yx)GXuJKuIRX}vF6F&{qE-^il_S=Ktuyu zD=Jog9Avb6e6Ze;4n!-(A4<>;SS^)QMjY6IC9jXy-kTSHt|C@f^AJ{urQyNF#nTO= zZ&waBHwF)UpMQEQY8(RI7K8GN)#M&oqofUq{hhJ?d}&Xz?WSY13k4ELtVkfYoq+H` zM?NF&en#(=M-LC@AjW4UsKUfTR7DWEo5VR*vJQ0Y%6KBP|LDXG4_!nzD`aZ>8qaOd zOa;V?7)av=(*Es7@`}IL?Y}~yiab17xLxr5jA~^Wg0C7Wr*JX|kFnp6AHfm3+iX0N z-d&E{MzOlMK1x}jQ-_y?;8VKw$a_NgiV79s|71yzO)|8!T)4z>{L#qalGp#0kQF9y zQ*UhK`@N6;wcB*z>u}@D?LO5h{=BtZugfWRP{tit3Lqqf42w)j?JRM+F zs#wPr_>z$QT!oh)N#J%TneKVVhqWmng-2;!rtp@v*)^5COb&yk zycmG;X1IgwMfSWWG-U$-gOCc=nV1Xz?FTadMtT4B_<;$7YQ_okzuQ>jx$WPQT@yY1 z$UjW~LD#H-{Mvpc`b>j|q$J~iOmXM;P5S8Ejl913tzro9x4sFg9T2nEq?B|S$+(xC zU*+Ip{wDjQMruE8W3%>9g)_&}hhOe^PRFAY=kQN$z09RR(mlU$ZdD#E&Jl$3GCar|GO>aU>3^$W$>Wx%MAyS4;72CUxulv7c${BK8 zolH=^!4ers?pZuv{6c-IKyN2OF3z2nY*NdQXTE;e>g@hP0JB;1KA>`J(EHp%kkv60 zD@~A1VcapCc-N>}?Q{e6Lk4wBP<3{7I_?)h)B5k3c*g%P5g!s9ru|zYe(AV_Wfym# z(MOUMw)Z5sf;LtUU&;EM9JP6k4~(94(S-Oh!xJ}ZFyCxaI?K2bW2Gk|>8eEY%@-4U zO81lnEuF;ar0Y(GmJ4GtD|WA(T=muQs-+daBk5BAm{wi>L`3nSG0gEN_4N@AP+v&9 z&Qj^4>7VJ)X9|hqyXy#_QZ?E$~o@Ok9I|v%jkh6KC zT2A+j2m1fmd+VsE*Y98aLnt7nq|!>MNGRQi3IYNu(k-Jz-``!oyVhNIoj=Z64o8P~JkPWDe(l%mwIR+ufn0rpHm>I8hxgwW z40|$A5Mr1x!~Dskhw|;L#;tvM@vG$tEGWQKZUs5#sk%*l9S3_p{bI^@xeLqR(#LPv z)Rc@el}QcLr;(qrv!r^#L^1g(-q=~WPR6&21V704TsstvnutUZGlT?Gzq>_*qK|f^ zSkeXecZLc^b^-}KR?7uN3iZdkhflk8*fgwU$6c>eoWxsnqkG3^!y$W%Hv;W32!|X^ zuJhYCGi{TOk;~N2Y&Lhi7^=-LLbE;)kv!l;*0lwI>cVLO%YJ8nc6~L(IbzjI-*3v9 zY>H1-sL;mlI<>p$`rStFu6GL8*raYn4~F}vrRUtE>5shE`KIMto2>;8o-Yf905z$8 z^u{UVp-OB?O@=Jj-M7~^p1}xMBiWl|Wt*Ft8Dwtk*#!|o2#AGy*wWtmV%pqA)Yw^n z%i&<|&@n%Xj-gNElb?p!j*&jNaZ2*TpdJW)0&9Z4R2$+6CXwZrGrFqC?i4Hr#nJ1w zER6-td&~iQ5@=Qs*cXpFPSWI@#FK!I@)chv6;0w!6ld~d zhk%m-4$c_hKat7;{*&XT(h2`*{s;eQ6@oRKVVKi(l6e0tF=&eDN$ z?>aJ=eG8E+Ba*vtd}OL5bIzM;9dgj^JrnBOYWKlKkH_DgK<1J2)CF)`C0)r z+o4?LdI=^=NPf+2r{suX_*0pJI4*t(T2U3XuVla$7;h_2D0n z^UNB|_R@w1PNadzhd)iVlPrpoY8DaF{1-m*HN6q z&3lf}#k3EKW{?ou+D4l_n7V*Av{!rOF7D45f(jvC!zA!dY`A0j^X11OGA{)irP(&8 zZgyQou6k0)da#%vfR;@Q&wUM~q`em{urjKgUppa#JzzK2Sms9`6TH*1=f>}}Iq!o{ zDeh0bW7>wuC;IP-SgZ$?J}6k{a-tWzIA7eL@{|sRr>lPcY<234_va;uG*-q*9lSmM zs^&cpB%fA5i=$(C@8Xxm&C8FGk@>YCTE<5$Q`8N7k;W{2n|?b3Ym;?KQ7OW1-W}bw zTJ|CID};!gV*S~#Bp=1w_{1VyYD!s($xP6T5#X*UNa5hGvwn(_!Lo8HGYFtjYqq>> zZOU+FP1+YYbuk44qQ@r|LfIM?vw}WbY?Cd_VKz5nr>(YWcS-Ip?&k%2vC=~Rd(V;Qfj)~XWQzD9T{WLdf& zvQ;Mj1he=`t2B{`{^-?5(p*xP6lvm-VRh%P!|JE-$d3!fr;%aRVmx()TK>*JZTw4d zE*p-1k%#^U+uz5Y`|O>*wOiD}Vws4A%@XbEm$uuA3fM?Of_dlkG1f4@{zZts)fw1^Ha-lrhrH# zHjSxv_mVfBgZ+)7Gm#qixE#_?9Xxmlig6;@I*|%@xSz82T11b=)_xkh$7rB(*@RCI z!LaqX7b5dj{0AhQa4b=Ph)(dKLieh2DM^n%6-a1v(=YAcudKUkQ!ra5J^4Jq@068P z?R59G*r@8nO4<#UIoj<_`cNTa{3So}w=UL_TtYNmlc^QWNTtF{vVqMF^?|kF3`ym3 zkuy?LJ%+#^mV3BK5MKgVM7U9enEL@P(DQU*M$5ktYGzl*SKNt;Zs40#~+x^<{ zALQ~IBNsdh13gX7wxtq(`2ZnRDIVbkp$_*7m z*6MS=H}6?#)>$aOD_DrciXT%5_9dX|E6K^9+q&lBM9E_#&|i8z$(EyEh)kA&uy&LD zuv%$Xo?+IClZIMGq($IH{}9=9Mm{7a@KbTgvf?S z1KW@?gHTcKbHsG5!RTokt(AF;FC~-JA@!%ym2H13|aPjhN!=ImfGw3Kj+j_y$L1Z>4gN(8Xd#{Ka1G2!>2%d8#0Sas`Bo>QD;TsalLZibV)CFg(zQA~0M_DS$^S zUCiW6AoHSWp_zcC0EH!=rEAgq{wM%rsbUw#7{n%{&gQxxu><9Hj_9r>iLGnypXSnT zdhR~Ei({`HV@YH(g0XUqDjs))7u=?c#!qs-+HbrO#|mG4t?^-dNQ7;v&{=uL!NrG) zE#J$r#9yM|gl8A%PHzp75XJ_s!EmpvIHoMk9r*Bg6Jou^W{zJOeJ!7!lbbE8O`m3K zUDYEI<=t^4Ha4b z>an+6>-A;zy|cwK=M6n3zATQ1Td7GcmP{I^cj064O6b*&Cq*;M-_{EczS+zz!!nTC zwcR6LqJ6m5mf!~d*U|w}tpk}Gxt*WgtoA~Y66Y-34vjoTMD$A~Jrd6JKc(ivh~GDj zWOe9AbBd7pF~64gQX;xNDuXSfcSkxU2(zOj*c~(m?1s#7GQ_B;MDB3wU@B@t? zPn=2`j(=~Vwm_b*UjAk2c0nnhYn9l#Pf5JG>C_!ovYrN>#*981L&Y~CBlAi|u^2scRtUq3O6XaMfmh10vczwsZ01G@ zH43d`a}h^~#t7`s5REN9pL4B0s1eftOpTZkj}5smCy-{R9K!L!#mV0MPKRx7Ys+G1 zJ4F1JK&}+rR#QlV+SFdXIzrINvdRZvx5v?ExIj@)&QZGJe(p=t-WXW(Gcn4;D0*ff z1w)m_I#M74%MkS)r664AmZghpN+iRS`2q5xsH~}YhH=8L2lPh}8U>b`7VZf~jnT9F z*zh!Vx3;QaXuLQVim@Q}3uS@kBa*T(5-k_-aXbX;2;gw1H(Y_WLy%1KYj!UaCjjZ3 zYWLZF#q%x}y8#A|`y-$$Fi_w$VG8i07ni>ITOxxDATqSf|7X+T>;D|MCk6lHDjM~9 zQJYmWd5vQGm6xx`*=j1H3)d&83(D-Iv~b{zFIOQsURU^5?NT}Y0K5D^wDzuqreqfC zDg8*$=(f-!!=CE(;lM#V%P@d;>dB%w>qWnaCiaMpm4n4I)G=mI6rdXwG)7Cd7T)z3r~N@+=i1F^tf*Fblz4Iuoa8?n92GVp?V{u0_y zl)Cn!;yp2P%S9ykA>g*C0Wc&jPBH7I7KBn3u+eG*&OA8#2A-2<07a}{vr=LCt-&OzU}5RsjiM==VHnG8~u z|DTu)6-L2{{mG^Qi@8q9ButmFMcv5JKdW)>7aNZ`m~j<~m~7JSuZ>;bedhfnxqE)+ zz45H_E`y5h$yH?&7cTI=Do|w3U{MNSF@H6@8{d{ONOaH;EopTyEp;)^ zte3tSaGs+`qji|D#A*g%R!RC ziSb#NDFmry%jx_=O}oFzdfw!EGK_KWqvOET%z zOw89*UwLGa)irrdbtP;_$FA<$p+WoZTHCiE$?$koCnj!4_j8?QTfSM3`De5IQONX8 z+u<&))Ewh5yzW8SpT&Y9O@HgPT+O%MQ81`c;hu zw18dUWPi=FH@x5udtv_9+}3L0uy;B7l)JXi?BCh1i@u8gxObx_kLHnpw7{;G&AW9q zLdm_8gNvFRyF-{3cgecaXfrx6@Vy6ywBj904?(t!<1>ctr%>|YKyg*{hzDdZa%sDj zd8uUlnFkzlmHHXgAzs;m`)RcD<*=`(6MU}OeRgV$269Qi8Ij6wbcChfyX`UUt9V%7 z4StNk8&hq*R7)G=)2=%+7auz@ayF|&|4PprVnc}QjYTrAe%hxT(Nj}=ZGpLuC#K>nyH zvcI$Y)yS8riF^u7)0i@R%NTl4RO>71`!dQ5qLznOKk}w_OZ2Q^F=RWBgL-X~Z+x$L zp_;o#qWRNz=lw9fNjL8+d{=w?hn(h;%m{t?xdO{{9%p;n)eIxvaIDx1SZ$2PiVjiN zXxGjx0qh}2$o6Vvq@Iy+b&xjkjlP6LQ|WXCxs;WRqJ{Dc0YWsw)^_V(%;MgROU;?} zq!z{OrhZL~J6x`U6GE&45zCBiknQ_K>I-2axT<_7=OrFyAXN~PR&Wyh%|zZ=CCMX$sn4cB0D3^rk_nGLOi@C7`dTg z;wLtkth~Htxx0(2t0BbE(lX}0vB+UfvBO+txvc3-oef?d!>D@(eL~*-yXT3iAw#tT z9er_)(B&@g+PI<76m%IDv!2}hH?=K^MDXBa*VSYzPoj7;+2<1NQEIwl>2DYC3JKPG1U`!&xgVH zdRxVEsC(K2Ln7zUFPV+!AF@hD7^hRgiB-f$oS2F259O!jU0IdkHwAP<%on#gK zyWZ1(cEPSP3R2UOW(j-}co?Y@&29vX1b=S1nd#wq&HKOFPjLF5{8F@?zrgIiL7!+@ zy}f+QFI77sqW$trgfC~VqPV1NBxh7_Jx76ZPSa0X`cp+4p-&cJ<5#c#;Q=C}w-@)N z%riJ}?n^oLoK;&?Y-Mj)vF-05!8pw%Nk$Z?Xc@xX3c4)WG~p3=_l7&X)zH^~!7J3) zVs7!w^Oo1adiHEl7_PTkn~SHNw*HguX)zkDz@@yUw+rDsOU2yhfyC0=Yu12R_Z#2?OR7iUNaF?2%tUkOMeRz~6&F)I$Dimfyr5 zJ6#~#{n_dAR*f}-4vXXl`{v^T^`4d9LfCL%j9Ho>H<9)8^@P%IaR zwEC-;pf=t_#1B865{Q06K=s$~oT02U{>1lJOWohR;2QM5f^%<41}ei|$I^&?Xo3lz zqwXb=bxh}%z64$AB_W1jc-53m zvzx1xeM+`Ccs8uW5Ns4Ts@bMPg1`8}r*{02EsI%;zhsFc1K9??L`kJ2%gh@AY742F z+daZ@7I`glM_Bpp!6x(=*>D2tZa7S)d}+QmF<`8qK;eSK#Du9&Z)q;Vn>ykv<-bz`k>;AP7aw-3}3)}%N_ z4T~!APJE>*9&-8+QSTh)^5Dy?OXXp3E>+Qut>O^zoWz<$lO6 z0x=}@4zi07U5X%0i00bU01c<9D##7x%cX}Fyrqk*6)U8jQ5-L&+7?lxjPQDl;KxW4?1;BF-FA`$6gAG-)I-`j4MobY+j`p z*-|I8^sfm72}ksc8Xk~{6l5|1W~e~h+C(h8Zus+8EKH9eW-(OT4YGiI1Sbi`>E=I4 zFrZ2XRktrN^D-mt5q3feYwH^Hos%>>OiS#thw|YQQ^v-06#cZ3LJ{8vE5iV<6*pHn>ZzVhMuaua zaYGmj6GcC{I(1Ax>HIUWreNx4Cg9ry|2z5#ihY7{Q}V%Q#&^MTbA#_0{a+$gEFNls z>0HUvEI69!uWF6C`CB3d3jl)v()zSIcB`IOwOF+>pwU8OcBFQgWmAD{A$h&{y#^Q!Xl}8>Fbqi_{Gg-+&W5-JZ7hY91Q7JZt z=r;srGe(u^C0We&7@#;EKUO1Dgp&vx;wpn;xX}MymSOh<$&Xc+^hnG7#D^|$@FFz> zYG(u^lN8%>01A{J%$AcssHg)WMP4P?EsQbG#+l_j7hcLh*+gtsDyz4*h@nxX7=;MHM`#)*frLFc=6DN@nGjhHBE9qsr6ytXfg0sfz zF$(lC(cKcbf1u0$npV<@L4z#8O8`s|0~ipEF!^>`#|tC)WMyt)0p1X53$D?&+SLBNbpM?0&)->vEmR-5ul#28Q_Xt{N5ik5Aa8J1PyiWn>>ckm0xC6}Ytn_LZJG}0U_flIom z&~TzPmeoO$t@hM4JPkI82Bhn$^MsvB{-CSt=*zLN$C|;uj!U$Z1ETNVDegRK%<9c7 z{{q@=H|`gIt+O-7bXC<^@CfN{4C_ZNbu+~;3xjIHw*AMM?7FYmFOqSI-$}23zep8n0xXE?hW}q9_M6zCmN%0p29j%?WzkdT9nw02S)fpONV1G77 zLY3gcGn;xLC=fy#XMH!we^cxgx7SG_6?5;)Ld4?92?2NcryPP$3Y|ZSJ8zxN!sy7> z>Yxf&YW!u#%K3?7iYrso6?el@l&KZvbHT!;Pt8)N1ty|-N8UkNFdpQ63!QnkG)UI% z6VPFMdP~^)TEC`J*BF~@)U51^qPgPCh`C6n)u=Jsy@sqpLuC@$cd^FKLN{tRuhLvo zJO&#vO_9rl^yf19NwmSp_#@G#ca5*n>ie+s2ixN3S{wW6$YsiPN>ao1 zJch@_-QX423Lb2HU>t{+z@L!08Haz4zTU6!dsYXrNwy%6+x91_;eT;Nk>eonk6*rc z^l`zQ3qk>UjVfc>q{2P5Yl3{8U)^ z?0o1jfN!Vk?Zt6$N_G)RTvJ}WfCU@Q>f~v`IO2e$p7N3le8LvA^{v-Q+i@f3Q=;Lg zPgO(@TUWNHw+0b2FpqhRW>rwqudBgv%X(_Yu;CL`AqcIONMUslc~Z*N0(`o17zZTE#c zre_Egto1wdNPgqM{7(s`E%jbxzxn&n{Ug^#=Z}y8Alv*BTaiFQ4^aazx`4vOdvZ(6 z^#*)9t*7T&KYg#;xkBgu$A8+IFP11FCYlyNrqN`3C65_UZSv3MX`&dL5FJ(Y#wZK{ zf7w4#qx3@0=A$&Bl468->jw6dH@!26hk!|yO7KG6VYw3t-7g@=(f$#WJcZ=z9usb` zk8AnBnv_h9GtYNab`gp8I(s7Ri>J?vl)M1OJ_Z^lYesu9;~O4NJgohn|9n%Z#pbFI z^FdkFMkIR;2TsZ>g@*hctrxsfr#qJJvAh>QUXUkIcs=6}^qY?a|4hHp^7)eV=5iAk zwWl1Tpe&7FK9yR{A`fG03i`}em)cQiJ;Vi}^-%Ac_|a3<0oWtZjxsd-rL9d7;JAi_-Mb}xRBelz zdo*3-I$kAT)PM@KpgZux=CP8bi0BvLh}Y`hnpbw^G?<|Cb7g?gWBP#xI4WL$p0y%d zTS@LgJxunn{={>I<1LRY(`_qyyjy-Pp?NbrjzS(E$l8$ zV1Q)pnFoAtB_;L*U4IC^yGwx-hZ}-@>Uepq=O8OXd96dgcKI-mbZUhA+NfwK3(4?d zKBd-H$jGd04}+J+@01P*weGU{-*Gw~;*?!^3sXwai;IuVzTUR;{Om?Q+1(7oBdO7A zNg$S#kXIf9Qm{(RYH`e0w`B$`5qrSZcZ)8H)P05u zZ+s*$Ix42|yM|sgAim9SzjQ{bG|Fi0wbc0vuTu!p$R7x~T%s6=z;n+(3nxY!N$h9F zdUzhSUo=22K?C**1hw?wy2xbW*!%D7pL+k9Y%C)&g^4MBg232=aCw?xvdw{`xCWS{ zDWtt@^j;Qi_04UqVqCeR0xo><0zXg2*P-BDH5rrMJKmfsSw|twI|71=Y3l>MWKfji z@|Gd!6ASAaFIT@JpX;WS5cAz2q@e*MjMv_g(drL~6gJQ9* z?udZ4wzjFfRKAJAC--HxBY#$|oUFC0nYW;G0IJp+5GdI=j%p3&MsN?K@OJG4NWwTI z{Id`K?m+1h#(V|=3&n58-Z|DS`}4&;}h7U-`-`ddW9UM~|%1Tw#40_nKV34!$Y zdeMRa9%1jGd!ju%suli`J8+gx`_~iRD*`*Ul7uR>!RKZMNNQs5*A<7{FF)5BdMTsS znm$I^^1~XD{}Uc`f$792%O`!I<2W;b9!Y_eSE^b6DM)<{Oyc3-;s5AE*_Ab3gVm8J z=f3^E(NxkzPg}efMH8Sx6Xs}zw!Oz9Etj)L(okgc=@0jYA3QlekWUV3ko{1TVwRA=bd)jR}A6=DKaW;ubiFIo7szQ_gdJyTSBR`J%0(Y9cQDP0OXX1fjvW2 zUs0ZLKPo8zYTZJwE^Y8zdxI1~6iOY5DO<2V=5VY2^0$s6S_&f&NZOy@qw?$rU{D^N zc-bEjX2`Dy^NE(C@hsptdV9rWvsxaF&I{5HR+k^K_WZUQf^zcFO)$}#@4m?r*q8t5tfMNoPQ5;>nYkX(~r6&241 z8+e3My2$avQEJB9M{35mzIx+rS>hyt6K~qeu^*m23HJ!_B6=!nmGqC-Gc7g}bNw4J zoF`zhg+ci6yV<25G3|gl6TYkP#a#S{ zTsjTAFw*Q!JqCxuqYLPtb_5*AB_eO_)9fsDeSbZ(1Jn=90T8n93Mga zNMXl`P9kj0yC^9sv?x?x$`G=+G78g;o9<8Ovb+v-oWbG2{Cqx}Rw6FW>b+J2qhn!h zpW8^NSS>nCiOqXLzWfTu4l3a|UOG9kRPKN!p7J8Y^yC^KMCcggY#Ns>Y!UlA0hAq9 zdg>Z2|E-iT{Y5E3=J?M_iCaIF5_)k?lC@!=UTfgdG%<%gA}ZD(4{9^@@c>Ty z=VZB@>z>?{!*dRaoOHZE4#=^z5&zla_+|chTBg{)lx(}VkWE=&00A6gx_FZRu_=2j z1r+?6^kza3r|JXz1TiH5km;@9_X8geb-Cr39Z)(g$ZKyg;9v$VSo*uFtqR@&@J^}{ z1bYsENOv+5Do!9$r--;efk+j8LZldf1(DXDK%@XZ_Y8E9coX)tii-Y%18enfL8_em z7hhJhL6ngI&Vvbk&(YK%WyeVwF(ae!U_d)#jInF)@|HR|;f|Jp09&Rh4m?mYTkVu1 zb8VSgTauFn4)7Cju;5lP10hQ1WVIX@CAOf83u?!!l&8x8j}kZ+%&Cd*07TTUbhR?z ziB^$riwuD5r>TF}06r1>zd68NLk75i@(ZW~sHgHE!zrY0k^F+_F+c9e7~oDP$LOti z15)(_)8k_Q0TLwbc|2QWzwFqfo-K@Qm74Q&g<4QcYfFFcyh~yjMj)E_mX#T41HhUW zG>wyr=EY%lNMW47gnGeV??IiWUKC4o5=Z+`zp-ESuR~il&c~_*0%Z3TB5*I?=at|u z)mj}z;H{36oP!@6*3b?NcJrgHuOo|%tkv$&WW(5Li^sZpgr>QBgduY>+J)na>_ww_ z&v*x&b!1y}JL`T9NT_Z3?*R!4t2653L=Bs!kR(e=hr54N`ahxy^c3iS>;KZyy;tB- z*<)<|%inWyPaFx#=)XIrI|X`&oU|xRPsnDdkpqkW5aDVs$RvLHKTpsd;`*wr z6}Za9Gm@)y#!l+-Jjci6f4mF;Hm%O|q50%#4xu!7^>f+}cBOJ)c~#L?B9MJ%JMYT9 z5yz^z;Z^k^|D28Dx0tp;0z&8AUJ!OHJ_nfD@Skl^q{S^@3L8rVIFV56E> zFw23W0x9Cn#X+(t>OrJ>zp)(`edYt)__O&vdv-1v%9BNbi7a+|!h+LIexQmn5r7;u zI~RUa1b%QZPq~j64mRl*xJEcH)6pIhMSdQ%GuiH{@m~la^o__tGTTw7tqv;=xJwyH z8TF&v^cqCshbW4>ksQ`db}N)q;g?uvOuG;M^r5F*f3cG1x&oQ6GZtE_nRq#_7tSlK z&Mseuan!A>RS=brLbfY0qFFI_Z^uN+s760$!$bbgx?oUg2~k^Q9iMMza>#=8*bPwS z&p1&~CBj+2`y~Tk10P&Yyez$1oWC_n96l z3NG0Hc@$i+N9hISe~E%34!=i1$nS!|?D?~E3ujGnQjUc2DB$wbqza8Aiay?GrfyUq z;^c}=N2~C;bXyHH<_DeI6g0~56 z$Q$(M_(Qe%=EmP^0u!CSzNwGECoY1@__xXq)Ij7ad6@RK^r~s-CBfrbY4FaHe59LQ zaXv+A9%=_b{tzko@%-HrG7&$Ozr;o`Bc9av-C>0>zWXt|6^h-D0NOf#NGvp5qN z5shAXQj8oQWfr)p{n+r=6i92vfdwsFNjsG9IzDLDdj@W(1#pcAH6*LrE_(xZ5wo!q z>}AwXAK`hWVn%#at-lHt^96tS)1TbyMyHk&TS6N3FwZ%%h)SZ5Vw54R%3I2V za!b&Bf@Uh^gApB&{k{Z2tu0uU0eU*u7Xzh&+z;qD_Np<{@(+#hy-IQUq)OZsA5nWv zg6oB{7`mZrlED#D{p+lb!&c_S?&~EFH6s+^-`Ynp?Ty<(L-WRd-A$)4_~mEdh+!eB za}_xtN4Wb`&SzNJGwx?-9kM6_ zQ`g2cRcoR|rAnoiV4t6tB&)40aR zCP=+iiW(IZ%j#PWRU%nZQ8HId(0w)*_U2Zz#J%WpZWGV(uLFwBFJv^SvZxW**Sqvy zDl7GN+1D4lIqY>(`_u3ZE?@|BNkUhyf(yww1*RHp#j4c3rUAmYa#+e)pE@v4CnyNa z&>?jT@~`2a;bSe`(O0oC@7V4Y*D7?(Kp~kDz6IR6$yawe(@eg`rUN0|A9{M8$&c

ZqUBc<*s1`wLe0@49d z2?(ONj@${#OI^N^ckdoipH`V#!Rt3c1bBwO&>OQC8socAQ-ZXpVG8~10w&SyN5{%m zt@zaw*Tz2sWSdNs<%#{rUAKk)tch!s?-^^ksrsV)WdjL#8#D;nNz~O%K4hR~*_c$# zvQ=C7jdu2Z%)jtV&=H@xZ>#!yifUYSKSmPK=gLGA-+&(2PU`(X}Y6m{8QTTt|Vzodx6;hxBDXoy;84&{PuEnTh5D`M{2STHAo zR6L?Epq4Pv1fEdgkV*f(o92Z;^9#F$ix||?(du<6Tk~06$1Q8*3PWl;&Ooxy)&UvJ z-{n?xug(`gFcjL}5)(SGy%B9QL}Gm&zm$Wv8i1lw@oK#(?`z0Y?yXiZZ?XATSmqj8 zBplb5yq>YTX`O00vImCGZ+1x%%!`!oe3BT6DpYa1LAo*avCV?J5+a9*eNB?iT|Y0P z+2VJ63@EOUHc+oUkoI-42|w!0&@dO6dO?NFR*3-BO(Q=R85VXXsYWHA39ApDM_RC2 z4G3b&*>Gw_|C2w)c$HL+T3?FyW^MItsjs@|uYnp&Jejv;HpBx5qU&M6La-I=t>N#@)zE?M1SrBNZ69ztD z85%#fu~84pDj-eoE|5Ahu}Q5HdN`G7&ZHi!EGH_Oj9Cp z)_ugFurM~|9?BZEn>u&UHAT#dHHQ3*=kLYvVirTX?JH`AU$zk>W-O_{ zQW>*oe?g#puDATNce1*bG{Cq|c$cNJ3?d6%sgMyZD!O0hTv5 zi>w0XPKS8LYFD?ne3`g^54S2B=^n8AO)1&ZApESdnl&z~3YT%#g!{(nwsZrpWnG;f z&k)gm*E{1SJ*&EHj_9w@H3e_spKe>g&Sn12U;%5^!YpR`&aglM$@2q0YHbegqz1z& z>a-rblR_8xvUnab<5saND!Mi)dbmzbd(fL?wU5x$E?*EG-YN?6c!IP$+Lff!e_pcF zA!>c;Vk@hcWmIhA;fv4eXJ#+9R-wzagE?Yh5_DwNZ)dSET{U7FnUe&EX_g3u2TK79 z2j!$wg#$cUfbi?=9l0s;mR)B9<#PU*oSbH<`cN3!&{`mnpY7cG==I0Q6(b3f5v+A! z=O;XywQuMEis^mFKKdY})T~*r_oT~mlBR=y3}_H2y8+@>RoG<;R6Pv_s!*xY$GDZc zK`7CN#<4zcg&Se!o@*@|k;(gw*tq`bOES=UC5?jlJ%+wF1O&o<6`8%lfpgS*R3NR0 zkp0aU*{w@&{DMw$`;*`&rjv&KJQd85F;WJwMGE^x4VD-EM*snI%AM#O0$;;1B`b6%}pjpbX$-kcq?1Z(c zf{(~VtfKESM*v#Gy5MBW(060LP3{h>;+raDymuq0BD(=SXH7(W#UGVSIL*2k_?lRc zU=YqznkV=J)pMG;VYmS{u;-D8uLRb7x(djmodgnw>VziG!T4i97qaisI3u+!Rgf^v zm2|c9jk2^s+%7}{#Kb*7|Ry^FFiBJfGNf^F9K!g>3_wWG-vKw+t+_MlWxHB0fm z4?c2oAouy}nj)LUD}3|GhTjs{0(%J{&p*s&ePdynH!ap5Dl7JwB>*Gr79ArYv-GdC z#6Z`e@O1SF3FXIn2}4@@EZ$mueGzGZGhus1_r?6?$GNGq-nEC;pWDR(>dqjB2(Y*x@L&AxWFQzJzi@nM;Y%-tYXU@-t_I4cDrZ!Q%aGKMRGUM5c<^gk z#=b3lb*j123vAgJWCb1`l+7ABvAAj()Z5@Ahtp-mR|VYYslu)9R-%}iy9_MtjQTVC z8FO9i-g*(}nwKXbgy`~`v0dgy8TQK0yK~Frs<=IIiP0S^gIt{`jeIf2_&PwW&|F_3 z^WjOg{$ty+;vl^Tw5I=}PoQS{0A`uX3$#t_ta6=HzU{+Wir6+|JT#&apJJhi?yvb* zhw?dTG^vAZ<*;t_usMXCo9@4Lx_IUDOq6g01)2o(Tq97|PN4%HB#@2&wa219&3y^d zZ_glv-#XZt*DmzPqSfZ8kW9w|8Zd*2B(7Ut`|#uUQi!dIVegfmfX&o2dt|9??-}6j z(>2747eqr#P0cR%@j;0cNCL_Nsd|U3JMGUCY9$MY`nh7+w8NFe3-FPu65wT|LKlo_ zUnhc`lNNL1hrj+$iv{MqhW3V6QtjFAFYZu&(ndMdbzJX>uHcUfbrA}^GX7=Tn#h2~ zE$_=Ya$?3)zBpnvgfzIs`rlNCve_?QWB(|ZFhD>~DN4Z|_LQFOqQ94j?Z?sWX@;<5 zLk2f*2nhKUOQbw}LRoXpHoI@(6E`G+3dA~gWb^Nw(!SH{qQv+o@m}F5-q)SP`xk!_ z?;rmX@Bcd7L+<~G_uo$9ed7OKy!Tm4dB0%M5ho^qVN2YViMB>|-Qsty>V))>`eYMn zLVt?Jwp#Cp3%yc23Z|w)vC_|o{@nnvwRyWh0$rivV$*s{TR)D^g2(oCrm?eR;pF60 zKF@i#+bfHGbN-J;Z=yPBx`WjAKRdXA@Z#bJ>MBu>CFR3uqw@XfXaw0pt$j3!$o#8ky7rVZ@;6};TR}GA9DiZV2$aIDY(D&8|^h> z6hQSX__xM&CkP_y>_qz3Z8JV^lo6x65fQA#cn^ioQar)|W`~BLZJ;}eWO~^knO^U^ zs9FP2JB18qLPP8b#)hs~6Omy_gHJ4~lgO3;DGuEJ?N=2ye!7h4&Y2PCFWEp7}Pm2BeJ@}}U-GF>9q-Vrh zPBFyT4Wm7mhw5&UkYQmm#N@nHWJ7%ojx8Gb4Oi1wmu{H_&(JZ^*v`^+o1uF z!|6Yx#85PM@VzYHW2*jp85AEECj$4b7>c5dx;|`GoV#L1j42w(FCxSK_cs51n_vz9 zyJ*S&-JAa&K|p!??@0?n(Z2x&EQEjK=D+E|zsVkQ#>l_v0mzj9P2K;kQ2tF1kag?- zJLv(wEnB8P<~nd0u?u8$N6A~y7;EZWz=3z;<}o5GZ*q*+Pr9LRhDgq15reAwo(d_l zJwlE_)VhoE$i1Y0iNkud();1pV@DfBVc=+!q!}uup3Tnn?X3r+Daucc8geET430-J z4EmSFH@Q9X-Rm{ci$h{W1)qY+J$6K7qyYd8@aQS#-!><>$t#`a_MzlKmH^UrffVQd zmHWJVrUb@A$QeIm!k9PC!Fx%lN`|)B_RWNbHg<5z%gT##bFX!F+OO(t zt~NDwt`9cNM+Y4mGR5ZJ|9q~Ml@2u^s?+DKV`se`w;uBAv=bdcez3S7IKHqpJF0z~Zq!eH-asyR$aLM#ynu5@E&gev%n zR^Lh{?-4M0aH%x`bKT8K`1~CVFrkW*a}5R==Z#uvqP>DA#Ht@>WQ2Q>_yFcPeGrYP zlTEqPFO9ytroH1S%Oorp4+eKu%81QrU*nVRc0@81p2$J*tqSkki(AFXDar3(M8k5U*;3iAUqDRUzsGvWB-h zK6Vy~3q1wbk}DJzb>$w6j15L2PN&fE-s|?(JY;6bYIoLKzsRm59@4!LlKiu+11-Kt zga;{OT+Wr_HnMaS!6QbbQ9=&VtafTgwyj1yw^>H^i)5dPIxTdKPHXZWG#)yPzU0Hw z41YqG)*0AHnLNMp|In}zC^9Q@WFL3)_U{(SAv}-mA@$sHlm_;ox$k%-w zaT*~%oUtlh;D#KMLl$ZZJVwjenZ_gI_YT)z9jFh=W-0}8ur+e%$01znjT`OOIFi}{_m$IL+nYH% zdq0H4o|!Nu8|9D=ftQO-gImhs%o$b3ir!o|(zK}#N)Hc>LOy!nUG(~CRA?CD%i%^8 zGuy#(QsYH-;el&)$T2f=^0yz<-ARm(!)4}Os^GrY1c=$aUq|&**MUkJ+|);Pa8ow> zLD3>>RcVJA(VjK)grXijnk&oGeLIKi(Tj*TJI+FrIk=*w(>YE(hV3KP9QD*oeCL9k z3HrvD?nMQ@eP^#yIoL{=$H7v|GQ7Uke!cTzICW&yHGq-d|3lb>E;2a$l&3EZR-i1CdgOfzp0JH|p{ef_ zEPejVK^bqwWHe&RjR&zlvP%m&n3x}Q-xl63Ky=pz9@NwB`?i^-BWBtgqWhQMCW;=; za%QePw$MF2-5zm4cYci}eJ3;pi&uDkprA)?QiI}5+46V6=(4@oWWy1=F=G?1^@dB? zs1u14q6Dllkh};QkLmQpPl?fCQK7pf6^PtK(LMe-t>yfJk=-=OimmR$r3%-Y9MAPo zSm|TO@>V|3O1rhkJCbX~VSc^TOmaWk7&&&RouGc6YUSZpAHg}Fd%L3h)x5Hz3zDLT z0ZVfD`*6zPLQeOU@9XxXd5|qyM5cB1^n(h-5N(t8_5CmiAFnb$w5|)XB%AnZ&0@b- zX34W->2SBJjgr7~;~>GII^$yLZqG>QJ<@|37@}-M+j+HzW@z0nccp%dxTcZ)j*}r_tkMk-dc+t8pp ziSWV9=+C9+gW@J7-kd*r`=cJ{Gqg{O>1Fl$xJ)Zu}$r`a^6Hsw?f!AKIHAh|bI z&gfTn5>Hq&VH3>vL7xrU;n zg)$Pi##$UA(%Chm{Geb3vT1vQF%L=06%$*X>tMD~ll`{#zNVjD4swo_Tn%tym6A0$ zhjhszW^YyW!Xs|2*zH#qTDu?*>E?`Dk%w$;C1=Al@okbC)TG4N6(tCTtuXBgsqthl zqU@>2th|c*dRl-f-f2wv=B7>OP5u!{?RAt${t`T2%!sI!*6n)=WIOQ?=Fp?L#VNYR zIm)uGA|5=pZCFr?M5;FW&PxRtwOfcbh#@Npr)nGqE zbIzC(ZHPXt2M?72;lowEigApAt}LfkrV4u9n}`f2AG9WVHdi`aQSAqu^;D3mz-TD& zQNIosjOrzO{X}b^R(=lEkf3hOIZ~2vFQVLiEa&_3H*Kma_XjdJuv|@B?e%^kYTNorT#GXUD>ZV699a17*lYLnRh;fJ7XLxgLJFn8#XE zbod3r=iH&LKF9|PmlB)afxn7G^{Oc=&ZOD{KWqEO0?!p;?E!>3Vb`fM7_ob#avIPT zC=oe>h7K?vF4`$tB{E9YMJbv6l-*ZSCM!tY{}j7gHHUM}OGUmT%JB z{yN_@>F2*@51oEOGr08y1go5E)4V~z28a*#&IDudM%YdbT@7D2j*q-v2Fx)=M&svN z4`+Y}=meIw4Q%NkGKp5MS}&Tt`CvN!aHCv)@Gvq1(P0}K+Q1K7V#dbmzI*BCzgo?z zsjfY-Lg9LCo>h3ON@#J6N!RzGmjQS6DaJWMMFN?0%X?Wvr1+nEzQ1|nO-GSC18gtK z1PiLGfeSq@w~%K|F3o{s`wQPA=jv`uFwZ(FH0?vT%PtmFbZ4nn#BTl2)7~8BR9;+= z+@2gz^`A*+9cvXi?U}ThI}++ z5O(xNIWbsEO|bd?&Pc_Mn^oZqOlxaE`=Cj5cdE?F#om0YO0;0B@tGT930gW?9=)AF z)H1Iz2|XJkK>|WAD?gX>YSZ@eSEmpkBH9aS!C3?shT&i?Q1YaOFCp`Qk5|_#K{lFC z-f?lUveobGSpt%V48fEk`@kSD-k$9=^{onfVjH9~g;Y^z2X!$`-xRFB={=dQg1INv zfUW1gEvPEZVr19=+O?sA_U_6cC^rRaG@-LsRKIsK7o;LDu*==2JOo1mSXIqg0)R?! zinKauS@xC;PtECLKMzSS9-`KcucKBTn`NR0JBQkJH=*~$5iZ*>k=&RbQ!sxEL z+slCXUrn`AiAVa%O#J0cgEPW!>^AjEPPNN>7Q)Jj*f-7s0k3@st}Lu@j>tse>jl>a zMyuG)PWIQlYhY^*Z_^Lw=(026vcD5AzER!QZ|Gk<;~%46=XldYF~1OC#ZBc8YU+XlY=!qk4~Cl9of6BvZLL7@T@45W8Gzw zJaNfovPBzWy*`qb8^F?c*je`l-XcCU;XqmKBgOA1SxYeyIdC~6w=tB{s5?Wu;w{nq zZ{$uW%!>$1PK(%B57Qn{#b<06pd$7|$|Cy(6)xMPK?q;2J*toj$cpIF_Gl6?UkRF? zYLF0fqFaA0m#&uojnf)T>K^d6X^3nP>9K;O$j*wb`ypfC|6=MaqoVx2w-2a<2nHo3 zf^E2sP-73@b{g;XG zw+im_42xh=is>FHDZ#z8j0u~-CM)Q_d`QCgyyV{KBSDFD?%V%ziNQ=C^M9xGu`WLj z*}c=~xE;}df&;>!Y>NB+fnhoZBF6t7K?HN8_t`3=TNU=dY(+}aWBiW?v&vHiFUYZv z{d%yO&mr`GX|6Qy>%%klRap9epOfI0^ELM3usqn|zrP{4-=8Gd0(F7~|9glKp!B5g zGiyxw%zyb!Qu<%^tuxwdnJw9G7(=I=a(h|Rx*>jd5EVIZJ@UJuM~6zs*dt+I);$s% zEGWFh6~IRfZAh%U569LPd-8wxn~fx?LRD#-yE}cA-^HD#`(|i`|M_h(z^3?qx;*?q z)Wu!BWi-n#dMUlY?y&K;UU<>xh)D0GW}>1Bcf!^a?J#!WeZ2rTF)D7Pzwx)P*(BTM z2QIdXR;Rq2Q2Qpz{Ac&Ng;y4q|Iz753`b0Gz;~!knX1NAS5?h^@%RrX|9f=8MI^4X z#O&=>?}pl%&(Ch|^oq7OS?wUEEp630JOu^?v#BisuA#1br~0?G3XA!kG^+0 zzH={&Q>D_WCnB4ti<&ob#)*y%z|?MC?#4u7hO7^x?@OO}e5TxH&rHyV{{&WYl8;tR z$9r9mh`LsWYe~4_-&GdMQKIVwg3cnknSi;eQdHNzZ1nZ7u)v!_7gtna8?6+34(Uyy zSKIbwB&8?8)}%=J&OIpXo_VG?{IHeZ2SqlGR`L0C?*d**)|Sj@-ES2tS$VV=s^{~+ z$oB0D__-)Wh3+e{oqJ#Gf?HN^O}I8H*A#zs)X)@SFWrZfR_8yV2&weQAj3T{N~6Qye}{D}aysPhqZau1 zN6dexKy!$oQ*X+BES&D9GBzimUTLZ08 zUqp!_sdbBIrQB5c+&uIgR4La;{k1cb^93Bwq*FWd-LCE}Q&$3CkWt*>qq|JdUFw*1 z^$YcPMlqfETjdF`*#A50*U#={E8Q;S|24tL@SE=CCyO4gWd8|Z9>1o;+4%o{C1~RQ z{Lp>67j!TB|DOSzKmHSkdk}ds1LCBQ!w)J!CHqzS@)2eS&cm&@Eo~d=8^iATl$tcp z<~1gXJ5&ZOavOf9a+l)}ix!3zPJCr1;}obfcf4OXcpLkH9Fv17Q1+-uQsu;eQ0j}; zk>5Q2eRB(M(RgP$&-rG39V=P5joy)Ck&L>v3@i{a?L9jc^p{cFp`<{7vCX-=`^X+2MA zrEbJ~Ixb*e$K_eSdfZvKn6=8}3O6PVUF@V@vdxTi%KH4(oP^95nl#&&XVee zLUlatmfEL$Z(f`Wa26OJmd`Z&!RPWc-y2o)2hzNbS5`#t;RK+TJNY$?8c4XyId|1-Z@)Ay-oTb=O!_PuUFzpT7*{_O z0#HKcQI>bxr(Mmf79BFN5de#N!FSW2#%RA47nHSafQBFBb^jrV;sGmyFENXvmMO`x z)MFSqf>PdYAJ2h&;Vf6+1Px3-?q(sa=sc}Ap{sUkta2QuG1^{TF4Xg zlP+83n1mw=EsQsPWB0UNM7cN$uTf%)kkXpDH&yHDLP8~v8!5yTPvW(#uaPDgq!cP& zie2K~&%;_>qVW0a*f{BHB3UFt5v`xNL_Wn?IN6&J2{2uzuAG3IemR`U0Pz}cRU^3k z!bZ~i#)X|Do#*@b4kvj?1cg&RCXWH^Y2$6HEY!y=LxhJ9cG{S^94cBqQ)@T0$Ry7+ zks2=?2{}!VuV&QOY|KWGMgA_VPwqNNU$WGGRV!!xQPUgE;nQJ^MvN>$PsXKowe_W% z#O(WrIydB7oWcj&%Vg}n0J~b6Hdo}$Et%}qRXe*`41|bxM4Yn?4%MMq2`A$*PumZ# z-j4^*jFvC-hbCQ?HO0W5ewmY(g&XwIbPL9D}!C!$&2x1Gya%HD6?j39Dz!z_~g71wu@TZn=_AWLb!ZB}dXiHIB5 za=N+TM{=Lu<1gWxFh7-3bIltEpUV;-!yAj!DR;(y4Y5sSP!|0ud+7Oi(Nwm^pbYD7 zHquc64vv)SB`e+Ygs#90QFU!K+F7`fK}7*RE9DNq_JUSv=h`g=XJ5Z-Jfd`{GD5+U zv|N5sBIku9&}A*aRC&b>_g?Flgu0)r5z~1QJ<0%M_>E>cVq>xdy_Mtz%QKfpxqM{J z;;F3E=4~)b0vT0oWU|hNoVoduMo3u(Yp=%0JwC=`B+QBCbkdpsDfTOwHh2QWM5SL9ASeTPT-jiHbsj6e$)8(?-_!Z9yi&J!R$UgATfU&CnQ zdoy)bT$_+zX`rX?QJF-Rk)rt-IcT(yrzX!P4%iVMdT>+`7H+~0j;LrGBC<7=BvO#M zyLF{SHGRb3$=h^^G-KtRnsN;a?&X@=0$C0t)9c%&y}DLgDcjmRVNuHzcA)n!1qqHK z85!HRLP>JyO*yZVTAHm-$6EKf)Mr+svj{&S(H7-b@X&x1HNTX8?X2Q$ty-4D_*BOW zVDiLxiM4$rPPkYAjnyAv8+}Ci^6sZ)XZHU4Dsp4!n`x0vamnRzTb1zn z7zw?2mPmKuITVXLtiIB)AiPOVUuv%dkP`4A<>A#&!J+-i`>=s_Y^91_ghDa2w!3mW zsl{o&|FXpIk%3z0Iow;>W0iTa@+WJ6Bimg{+++kRx43=YKTg}NGKeNG z-?bIyF<>7?F=-@6`1IoJm?$T=T1+BXN+D&O>7nL2OPkO&r`lRj1qhh(odWhd$iO|m zT=4Pqt~})!B_5%&Hol}b$yzGc$a%8J@*ZQbPW{Y4m8|Y{xxn>EhD{<8A5JV;k?qg5# zfwXJ!5YU=FBD>Qbu5#N-AZ5yl8GBJEiDbw6%vc%4FdA!))judVk z_%8gezXfLCJqmaJ#9peJhwH`R5y9o`dCg(Nc5#w7mQh#5In*xY6eZ05psc1Wj?J%I zyG2&F<*Ci9FS8rl-^reHNzS+b;o5H@b=lwjf~tchJuu$vh@MKX1GMv-uKX8Yjmg|b zEwFo*?Fmc@Sq|0K8z4K%*bf&X=$Dr<6EUhDDVK1ec&)#!o%avJ1 zMHcIR>|kGdJDI&)Rth1iaS}EbOC^sEjP#g%6_sR+vST;qtXQ&y*s>B0P!O91l$>Ps zyEWoWLTxh|UL2Hwq*Z@8*mA#1$DjmH;?LR(Y}5UwCk{gVm-F&=v<63ASYi%1y_ zglQghoR@-aR4rFDOeW8pf=RGS>rWOr&t#NK5OAl_Xpm4gQHW@Z9OfQHcNI-IYVV)d z1=AG%%2R$7dzNGa*;TqN;`&~36qCBTAHkxJ$nBrzT6oX}6h+4LAL4Kv{1E?|ZGL;|X^xqf2F_FP({bM7wIe`MiHK=D?4tgHL<1Q#9x$aar1zZO? zJJcm$sy7N6O)7o?%YmwV5VA8?=Iq*4stgl!Pz&DG&Z2;brNoUvb+~(poMWj1&Z;xP zUqd@I{F(uS+bT_Tv;Vo-$8DwtcTFJz7<5JsD8j2r{Kt*h0a+A9US4clGGCjo;Fw&f zw~;SBPHY-B|`9gO~);Kmu#5EN@yb=BZTX zv1E&fQowcslb^xpWda~VVyF_PMY!sOm1G-$mAxwN<$)Y zch^xv6W(eLFV9^$E`for!!^3j^MruX6Jfmbav6}|#Lsg5pY|?e=iWwQ)*oFI%MoPY zlBqG;bK)!ZEabU~WFM8*d^60vR3v;{3hMXKaj7=2p?`lvuy6v=$En!-%?{>iR0aJ| zd?Hv?7{Iq&BGI^G1v-mj9O@HKTfOL-&vn;zvy35V++bWZREc=U^@=8TAQ?z@ppr6Z zN-;PwhU$9y-_?7YfFIXjJ{EDG#ZS*e5#-!Y28-+zyz~Yn65Pp!{^fhi5#f@>) zr$v~%@4%Q1#Ugp@OXgBVeqdu0J)%r;i*~zQt^5Fac>+n@N(W*06SdCEs<^Qg!c6`&4nNfO4=(@VuM> zIZOdF6!A)SnY6)1bP*~Kb~U0u3D}RW{?bFCvNyht!|a2XChS<0fjSO*FxqQYH(s+? z4V-LDL~cSkUQ|G_Kjycw`rL@M1ik3J{h3E6{U8IA$Ye`#XXwpu;$NK3{D*=&5a~QY zp~GU3Xs0Tx^oFC=xR6JHkQ!vfJRZe9{L3t>u-{crS(#$Tj3$yd(fE$)0?u3vPLm%r z(kHyFt*rpOmtHYcpDEk<*K#erEP{(~_v*rh`+N(UtZmN|5~t&ukcG+b zaDOOoyQiWm=&@te!c`)<`~{ z$&%C#zt^S=os!ShS12Y}c=)hcW)xCnk0pQ*5Pz#KIb5Q2@O*fR-*1DxPf^_Vk^5W- zBUYpIK47U&z|K1O6uOFbaJ5K(*qkW4*kX5Hb}Mt5PIJ6tt{0d|UE9LEGbfWgN;^MW zqhCDaQX;}XCbg5sot<1rY;3H%tz_bn2KSYp@#KaDcH1p1LV0K-4@C@=ye7#F3zrM> z!C7-<4tj_|%`D$a-YE6mF20@GT-1;STaJzxV4?@(xX}YW=xa;JPj%-;7^xi$j?uWI zaHRqaCz*D=*2en1KWhFemFMwc)eo;~dcz1lcO9~tu7uuXLCZDCth>_m*lRhl_0PX) zdc5bx=#jbGI&M#St(+Jg7~(bTbe6YNvcsB4KkQ~TkX_)?BHnc^9PKQ=PCqFPu+@wP zG%p$x-PMF)sOqt%=ipgAQ1T-?|8g>^C^m6{{%u6BsMcMvXaVSKccp#(n6br{tP{1Vxw42ndm!G(jiLlT}LWl8q|*x(-&3JP@BZId(~2 zH(hTGuIoG~@$6POZ9V@{(H2>1kN4GjbjaD;rq@!OQ)Uyyp+RTP;+A-YZVWt`19ULrcma4IW`LMXFw#`FwdX$Hht#`DAu(mwnF}_DdYpY zUWrXsyy9TFZodxo>u&NXjljLf;`$!HZ}D5uAfKA1C|?GTm4p2@Yh!u1bPU;DdkGb* zWUv2Kb-l2=Fw%`fdmTGK#xeKh;@+0!d}h<32i3hnFeBI#I(cAp9-+A>N|-X(my!_C zVH|kEvsmJL%V@B^GX^vGG`VrLeO7AwB`R_nJmjD5q}QB?SmiQ2fC1_gec-kO8TZ0E z=C}J3%V&bE(BEf^&ZiW!o^ury>Z-H7p_0Vj$CC8hINR^xEE?>22T8d*e)wzHqe;%U zYv200AD@5YI{#CsKA5EIZoeGLN*<;H{-=XTEc6;CWAVQx(2a|RsNsU-wKp%y4Bo>_ zvr*Qc5w(K8ktDL+CV)(8Ahqc|sM3Ga@TN8-X?hA8Y2;q>jIsxN z#!quq2Y%$(jqhC=T!s2OS!#IXLbxb2{2rA@Rn;&Dd^&$I0@$byqE;t%dozM%wwGTd zw?!9}l^bc1t5%XEdyMWJ;`4|zjjJ_Z&FM^HPIm0*QrL~~r6k@c(Z%XKoA#qOSbdqO zy?jwupXGBldL+KMQZ9vAJF>naP`0)7(KAJYTThZ;@K!M+N{qujiyM(!NW_$DU{-bS z6ba+`v?25W%`mB=kTv zX;V4Bx_Cv8Osewejy%2MY&NaVd3#^KWPo`eKM@$GP~RS#5B-~EdA#m?rdgR;R~prk z6Uc5DIBQR}HivH~8U{f+PWLJ6_G1gqR@jyPZcmPsZ!?xEQIghv0;p+%x7A&kw^k8~ z$IOK%v-g{zCc;?vGqC56J3&t{JArR@_Py9?mGbbXqn_M5VwY=-+cqB94n<5 zAt0&4NE0D)ZYVS(dX3v2Drjk4@*OM4m<+grwYBPw z*Yss1`Q9-=MUf*0r284rgU$Wn^WgrDc@?bEPX10lpd~`m5EY zc8*MbC)4V!|GIN72iimF)Y^`&!IBrZcgOALyLX!=@9GX7Nr`%F!|}?!m)vqR8m=bJ z2m|-}x-qLjDX@Y%U4c-vvNGym_L>1qjrFa9{)*Wzj|`1g4dpFWKmFk7anC=?-FXzc4N+E?yiTFu zkpfNivpNl7 zShF3bdFkydAO&3GX-Tz+xLxB-T6@9*m5qlvOmx=F?57iTbTW67c+8Y_v^g!<;5)8V zCSTXMI;x*yHrh}XFi&>ds{E>TjQ+ff)nAPL9 z=*Q#vch^#wBS=K~%++%6WDO+mFwvNAbubJ=}VLg<3;OZ0O4cLA5DyHU^=@g!9%?oAFJz=ex8fLG9O3VmB7UIoaz45hRyP0p%j^q*_}GA8FS@oPzso$c5E!T=MtVF3_Fo#^(#0WgNg` z(wMGQdNwH$>$Xeld-3M4UC=M?#+1arX6P2cm^lIx{&OWYmi( z+*8K0(QxPkj+u+a%)<0-uni+tDJRni94v~#Yt}HCPx}$ zf4gQp&P(kD-RaAqN#Bq!>oTX6lUTm&;`#3G*28nxt?s{i8F+Z9mibQ4Yx(Wc^(KJX z#KXe_kl;Y1`0};d+(_(qj9HamWOq~7VBmEMaDA_Hicz9gmagBjx^MqA0W1~nIAV>H zC61pW!J8+3u_u1kdb&YhpIoAGcLa=Z^o&qQ9r3SeOh^6h&o!No zKYCj|3qAusu=i;Bm@mF{GT;9(;;-md=wqt3hQeV^bhJtQuKzoOK=X#9hSf(crmwdJ z*g;+df(GF4AUW-Y#y|>Az+SQGJ=MpxI&CR~=71i_;M)8_g`Oxbwx-L?V1RFDl^ zr(i~5Cbxa}!@Vs!8i~M7I$=@DzJrfocf6~!Ae-@|VW0Du+pXGrjg|8|$A1yAU!(Js z`Kwc`2-i}I4{z@M3znW1lP_rsKuhLw8+%=ckD9!q1|LI!-x+kkWaygitXH4dhw5MS zV9CQqKhL|hyYuP0-IIF(+ffIdq4z4@y)@OR<*d`>7HMMCa?}y~Tvx8=Jc?rqvp*FdLR6mD);BYqRFxniWegwie>0!Aku+4aJ5@9 zupchRPthuMz14B&xEk{copZN_7a35s!j!vRyVB+CyMmg&^S0^8p~alCVCEBQQ82AlP|Zc3PzcmEz*eqVp-? z17m(L`YM6CysahhDB`GhI7Z^`qZF!?4!GdIznF`q+%Cq@3BdU+|5K@xy9M!pYltR@ z%cLk}p<-{&gcISZdaXQe76gKCalI7?P~|)@S^W2x;-7c_(cbcHT28gOvExVA8FIG~vXpc8 z`mw{%pKh6SQEhiz+rlf>lGk4LD+d3Rw9dG78Pg{EW>Ny!VE(@dLTlr{26n?S`E))T z<7k`LhS(QTIFWXoX-UN1_VRrag-h((n)?Y%`A7$}}7*IBS+@$_-kijY4WZn_2B zoPsF!pJF4vqGq9n+@L0(`{?Og1pArZ2LFnX7iqpiP5R;EEW4nDm+Wz-3<$=nXus}d zm))M&XTSeN1clXD#Zi;-2px!t{7)Z{CO$<$Mq%HY`|z=J0?*r@&v7lbo1*62^5SI} zWL~`HWtprl!VfN*z!}lTbXwBWhX=%%4iw!f<9L9_UMx=WnX&WZ_oSY_<^Wt$K9Ec^AD zmJ-Xw^R=mz@z{Dk0;@5mo?qA+I#m5;vXNM4JmW=$1qHe9W&~qi^5Xp9SILIAVNk29 zW(|wKi~P z&H15IFBZec8aAxI^snUPCyYZMcv?mfHCnIQ8Gc^rSM)u3{mNeG<0!bh0QsXS*G9vt zlvc?tXWgx{1SdYetYvjdz;y+hxh)f7mk`h0YnH}F8*kiY>^224A2<2XEeQPvw}TJ} zfZ~Z#ODq{9Px{rhD7f`&JQu(7v|XJIH6Jb`ta)(S&}c36{&@3d2gxhIq~c4Z={!VG z(VYHxdTU*RTWi28Sz-ZI4SA&v4Hm;dbm^Gp192@7gd8`6H(7j6Lf!FtJyxri% zX0K}NJ*V*&oVQU|SP+(gBMpZRtCkN^!KurYpt<|gAjRu0Eda<+y zUsPg?4N(jyUf8)w$ds}Ei6@`=pA(RzpxS@4^aNQ=OzX9yuAA&f()C;OD*C?DMvme6 zFqpOHF;IW_Uf3B@{P$y>BTX3iE52;-{-eUAq4AriR{KX24NqxAw0%E^En1C6EI7l* z2@!h2Z;7o*as@v}1D)s7>O@!h8+mrEW>QuQD3z7m_Y(6g5;wC4)(1OZrmJ@knwg?L zs|#i1Lsw6qX3sXexxJ>1WRQ&DEk86RBXx8-&a?Ck*PI`l z{{?}Ep~U(h+}G&Q1y=xM$7K*l;uuYrM{hntL-b~L+aj8>Nhw9{kgxV@IfN3}+y@)a z@rbaBu(Gl;GCo|c_!it-y;1BSVl4Z_+f?d+zG!_?ZIj=*72!EgT!!Hhs20#qtI(q0 z3?$U5OmBTn54iKU3QX`OCWuA+A|IL9NoE)wGim=Kh{Yuw>a3W+@%om7-!SP%ophpb z1K37e-(B0GAcDYh>G}>O$R-wE_<2>>ZJX{lXen^N@mqpuXlQ8AnPGsTDxrO@re*ZM zhd=aK_hm(u{9uV8h_N#*#ToB%l+}38q6xmVve}E*UVvU`t;)F|l;dR$o3OUPRaPZV zL4(lfc?Z1wSCSe_)W02dJwu`OdVfh%zIIVoi4u97+9&K;{T9JNo)?qR&MjTutx8Wc zpI$7=Fr_m*l8LMcVyaN4TYig&HKJ?N!jt-zg_-d;mDN3L<>vBm6HB1lJzLz1yZR@j z`fIt{pXWfT$W&MP+V8tpc%?WaxR2xQ1d?m-ox}3x-b{xStmjEG!r6Ybf5=g_A}Nv? zePZYW>7w}Q#-yNjI~WnOjQMzmnU&0l8SmiRs7-*3l=yr49hP$ywTS%&1rAu9K74*F z`ErWk{hvPKKn@K zJRtNtecN8L=hIdkRB>L7IQ06IM@oHvcJsyW5ve=Ata))^`ziNTac3^w8vLn|ir|Bh zR@x_d%RYwzs!r(QT2NTsZDsuS3%YYS`T2b4gSk9q8N&%3FmDk~*R|6IQAh7Us^iIN35ikxFP0{1=LEN$9wcP2RW! z0B?-a=fo3DE*+Gi?poyWgQWM4*>U?Q?K)cjf=)+5!l=k6&g3Lh3}Ww?=y&?mqTmOk zXp8&n{!e>JZtjxf&d1QO0mJI^C_pMc!*2mhp(U7h_#@MsH@z-e(l7X2k~Ik#W1|0! zsK_AvH5)Sn+I2sq1y;VlM86%X?)5GJ@GADp)^oetcjTRaW#kmY zpG;0-C+>L|CvAHiC4bImNHzHgi;8~Yn490{I}foU35i4AHvZpdHjsGska@a%f5Auq z3)L4#a?r}nDGb9-3r(7UbLBdRB=O%!!@6&auwv`+m*Ov;KM6v z6DndYe2bO6_l+KDybXGdljI|Om#oT^T&}S_y2%_*c^~CUWT(8M%y>rm`~}sE5Lu?A zyOM~A8WmjG{<=-q7m#btpZrvHJHVcBaLa|x#ZS{Rx-GzD7iCNTr*kXYi2fpWdZXpE zy#kykyZYMvc=Q)5u~yeW7qzd);qmyu@&@|EQtv%?W$@JX>X8I1fwQ3Cr*n3Hvp92T zhv1vg6Rptqk?|el%Daz9{`UT){Wr~+G7nhGqKc(!|SGmMW^S{4waOFlgQ3Z+#M_vmJ zE(63Ux+l`Yg4z6^nhyDUmC9y-qhnkb7ivr^*I); zf<)u{;J77ji_Rj^Z60CIf6r&m{@(F%eiEuOt*qh4NuUGkxr6 zEF9m4-_n;J_m&5yP;n{QhUB4J+=X(xkRDV`YHJ0&`p%4%8}6d`EYlPh2z8hVL^Tma zTGSXq^HYhywYEgPJiH(BbQs=`;H}#|%cgqRznvJ8er;^}AUqGOTd?ug*HloY-&W(n zy3Z3TZ=XYBiDe(>7cF0~zROX49;6kk>0WL=q$%q)8(u-pAm-EA+pvykBFDBmykjxU)MMWj2mWG~hu4(QEOt_am+WX-E{MQI(E$Y>e%c zWdB6lL`bUKzcSYD&tuaNze{H+A1QiX?4RH8I_=a|8}q5~-QVjy!X#^JCt^o&^SFu9 zL{SSFT=%|dI~3VJdNwh97LPvK@@r-6eSsyxI9I1Rl%FzKH)0jZKM2G>7`fllWR1oe zLGzi|d^ulGX~1zbP!yGV8}Q~<)b$b1_p=+Dt{Vg!)v3^YEtqe6NWSd@g?&orE!GxPmSWN zZLk+1>TQ`590r1F7{?m|XI+KctsmsP68K$S*7)%n=k) zbEt^`qeH;=I>5`bV5<3HBTn*;rw&*4`D`Ck_0JP+rK8F}Il?vC&^$9%L2JrUNc7_R z4X#)7d!igjHGUQkF#&jF@JrB1L;?fW$X_CUi+@BhOK-48mmB#Y0J>dz-{+uu3pDT zAdf3ZHts1FDlP25q}qX(#^U+sw7mZHm?wPvzxAFxH-ZT`Ei{O6njw?-YjJbCFpyoS z_L|_!W~Q(w-m*@1_3Z3Mq_b;TIPT`SXC2H(xVr zbp=$~eRtt?)5^kmWKJ7u^2a}2^{6sqe^&h9g4?Rki=hbLq-5}2tL^D#PRl;qBU~fK zlkt2`m6aD``76eErc|m%|p?5yXv*GzF9RN|ARcb;(5JrI6P73MS)== zTe#HrtJFjS&0@6ns7$_w2J~2rmYC*Y@c8E={+ILIGO`^E3`e!f3a|UZJ+0P}Nu;DU zJG&XZ;k})eOKHMUrfgOp-LUk3Vr^2>e8t^*61jFiU4TH}BV}xcQVl$Spe?XcF;pT-$EHmqr#|8^gn12ec1RVv%gojj0+F$?2LFI!fJ z`Btb50P_|wmrvqbul?{bIA4h?AB8$CWP2^=>=$VbdbZo8G&2n+i{iXS4@I4kg6kpY# z#%lCKKs@fl+=sY+j)#^L>M>6UiHLErXjP7A(gC{Uva#Z)Tv$=~@{3F2)#~7zNdvMu ze8o-TU?HYXmeB7!s)^d5U{;rqnUci>IKp%7A4f~4UIBt?^I7|G!L-i)vDmw8v*P9n zB%7B{A2f?Ng`-R3cwYSSu9XA6K(^6bmh?g!rmeWgh$Y6XpVVuc^xR!XN2foTOW1KP z1Q2=a|DBN73j03HQB3koD%c9iuhUUfWB!~!Vv+)1Z|3jfeh(*_KPbf-iI?D}CYu;3 zBC9(VG39k}guk4<__jn*>y)T3)vBoSrR4Mrq^$RTakP~rl%sBM$hi4SJBUJVnv~qC zEUWe6sGNk1n`C;gwO_SWgdS@9a82_bEniY%wf?DZY!NwxLt(vGX`=2+yH3HGi!BYm zHHT@5=#Ou^n*vRRa$_H>s-#z8UUb3fV#I+EJWGh7P+`fBlB6f>dcO}(xzt;QII8zd zQfdO7hRR*x2wv>mj*RXP0#Pw7zraevKYigmeJyz&!4s%a0$x+R(|#$Fy1SV}pn8fM z)w?_TO5LtqcA2PTTY7cD;^S%}U)kk{U0%I|rYmUgT_4OD;lEWz)OLN)sp@4k@(a^X z=McCWNO*%h2L-sW{}}zF>uW`&~WC1>h~{rtSm8EAo*}QNikfqVK~K7qgLyVg5YKGZI1B zvm8I4&4%5tzcrZ+?xeN6c4J$~Qh25^Ro{sfOx!i138{~>CXfPUklGC7JX*-9;Q@7B zrMW`+hOxe4%opu`(+xCHCbv+SxGQ#ik7B(ncyaUz1gdr096H~fUJIhx02Fw18$~}8zWbL;*L8bV%``2`J4_^8_rL~{<+iLRMDgCj3$_OJbo&!59V{Ters zMbP9ymbmA@?RAG%H*qe9Jz!5aQ)4PJ1^N3DaiTu{jJZF&a5ku}K`Fg3ny2L1_bjjz zgHJ({6zz{{gcxzYwEe@f1K~g{o5fB`Vl@M6NE47zmXR3r%}rU@;^?a+!tZdVu{v+z z9~Qx~d)6DQ^kGux77Xgh9$q^{9Wb!XZ>{EiqL>c}n=s~KkM2{70mf&G)#;wlMo|gM zWhSt=#n6aWnRa~tS5a|P!n*h=wra?~)+ftUh9(|TU4P8PPLh2$#h*j9wg>X`BbKK}N4{ts93r=;{JHFDMPuroUi}mK z_x_NIiM+&e??LTfzhU8|-(KfRYH?4RQnfZEm$^%-aU_GJ&l;C0p*|H%z_t57&pwp@ z*PJdchLv6)Lld(>vGwf~wJZNjdGlhQi(x38c@3+koGoDM=}yD@i-vwp;~}qN(MrZQ z z__#_yvekv=lS|d|*V;>Jx40^4SAQW@C;yJ{r5}8eUV|R%tl)RsfeZVinmbpZUmE)t z514u5%Ag#QK0arM&5!$x9-i|z*A&9Yh1c;;v1#{7zD@xaPEOcda@ye|nK^BDu6>vM zn*;m{3=I|+XWUtZTIya0O9|Oi>?z zhPcvrzTuMjvyTmpQ>nPq{3S%y`Tc@uwRR|?UqF|K?|SAjqOpwZoQ0W6&do=G%p zM2_Ipi+6xw0;bi`OST&#adQzMfo#r;u%o-s3REIBzB$KUtW@1i9?ak}ylx)<_-{KG z->)O+Jo9?!+j*Bz%yK$qMRL)~uKsoyZ+FNZky1Q=-fTFbC2d8Xnjr^eV%6^!^1we8 z4MVp)_R}Kp(k2tRj!J&7koj-=o!>if#F%(@MooeJmEfI7LDArOA&1GgR2X5&o7+#H z3OBWl|2#dE3JNADBgk<6i+Y)fV;?7thvfeOA86UU+>&xV%U_8bRg?-e@i4ypnM{zQ zvJC9sK4?pALYS^??FBsA7n@YKO?x8F){X1>< zKd@dvX{4Domw9>MyxLvq*XJf>hEGotw0?)>OE-41@jlGOzC|q5^dhk+zOtJGM8AFN zsq67RceCV}mwpj7iZ07AUkZw}hEF5SoO}0Oz!YTS;DdMG0$vw+UAt3*E05BY2|!(E z2n{W!T5b+|ry8DqHF{n1qa4QQQj$+oyaFbGw%0H{7A-Ap*Uv1T#;y2}kWOTO$^#p6 zd!M}--Wl<=UCyJd`|f!acrZiQ>*I&4=U8R0B7V-OIjSU4U@*NU<<(nrME@V#R<73z6`Q^&F9aUdsJg5bi(Ai+OqggBfG9n}UPD$2wgcTjsmJ^79 zI1}Bb&Chz?D*k~GetI#|+yKkbO3-e7vbbS1bVn&{t!FgTTs&p=%g-OjFAwcs7vwjx zMu=S}X!fr|qdC_%yGq`SnovbLEbWR4-rtss%<=a{Ci&o1KGiog_K0s=3p>0O+9IG* z@Noa>$hQW;>etE5^!5sE6eK;*r-=bz?R>g`W}@?VAGQ-v@3goh%%K|MMs$zivnuMB z8&8kulX_&_(;3d-!pdK5nIXh%TRR2<880=McEI3`%xsD$QA4|gDO=Tnx96R`2^y6H z&vYl*LR*U;Q($lP_4Nrad7C5qlER+S$)QqA0OL%TIqQ1@-QAN z#V*f&i;I(6O<^R0HJn6YG*FrM^9#lN@;SXt3s-$R8J9T9n(WUcCQO$vWAzO)=RjTh zO3zJ0zC>-s$BtNrux}Youa)uxMcf^L5Z>qW0Vk8mPs5vEf6bO<&mAeJj$X-xsVbdN zL!0LtlOdG1rkI~vc+Vx?0pO17ORNCyy;C}McUiTJMWeW*?#>ajs@_nOw<(?i%*2sT zc80{W-t6BAC zQq`#xynj(*IxQ!8>^d3ZMH|yfeYZzg`P7h>uZLQ)lhUu2V_VGYmRcgp>DUrmWK;Ys&5vHF*UC!X5seRgb)6w$K|86)o(#^@>^YT4%l5ti>bK(b-G}lZj@6 z4yahvcuyuoO5WG>?|L*z3^H`|v%_S*wLllW#cGh1dE1c)b9TTa{9q#?-dZ_l+rmV z18JCsOzQsAdm7#u`~2-(ad zljTkPE!$JYZ|cJ%*k?djezqQpHh;!qQ%U_+A6*q_xQOlcY`-WjW^P?&Y-QN<3Te)d z@10fJ**TF#u-ZQWT6zX7Gg*h$)I7E0;aUwc`$V{mf-QN*YaF{w?%_`>eq!ZO$K|b+ zk}5t)v8t%4(P^%C{1X+1r6d^5J{)#d#`4a0>uaPJ9ZzHo{-SHa*5|-k`Dri3p9GKI z{@{7o%ezwfocBNE2F}0v^fHs%c_|krvw*zek0I_$Z+3HDYLoLC zUhwd3mkrpwYnsp|CaX0kRGK_Fo>M z3lDn508JG8na}Ahi0H1~X38D4K0H_7n~GYF0S~2D0%lV`k!?e|7rQ@awc6~LYSQc+ z3MNXLlHYk!2~g~B*QQ8_)r~$Mn$LaT(VM`cp2lZ6n)gxK?dnkozRsB6k0mZE;6$JU+7@KsH=5{(2icXe7D@F z8Nel?I@_;b<~yULIFm+)N+I_gOn!XW?^Y3{mpq}AG<&G_`oqJYnfI)|;9qaKbBBBW z1wWN+K%eweLiS`bmAM-~(3r2VP>tG+c49MKvtWUWE%R|Vs7og6-*fBn2H(y$v_istKN_2($mqOs>e&p}wiKcVv`x)%X zLFZ++6sq(5jdNvcP^W-nM`}@BJ?tsK!zQk^dhs^|hcVRl*?{&c9ZibxQMvGC-R*D$ zt~!sih^=$VnCH{UT`6u+rgx%DjIDY$Dr+M>-+w_UR~)ru1eq)IK9zisoue}pM`$az z{i3ocrQY_CCM?yq{0RM}N6oIMKtxM3akHx2^5+kp`o{kQk3ew06;xJvyg-)i<<+i} zWfgyU6&00Ex6|W+)u@ZEDk6jIjiLcSR|MnGPCa-iGs<`cBJ)@Hml88msMQxXn}JSY zTx|wcs>U6g{fY5o4<9}D1~pp-`V6p`STWQ4?uQ=!VcHD#x7#I`;S)NNCnm(NTe<*% zGGZdhe*(&2m)1DdAIA!R4 z|GxLizOC!Wt~mMms*_(XJn`|SQ;W(&Ti2Xe=*|AvmcJpym5&VvMTG^$1^I;~(;Eh1ZC=(q?E57qz%^ZwE2s+l^*#i_sCBtD~}V;I+~#!mF;xu_$>!^B@#il+1%c| zmBo;q(#*=zeF1N|Wvrt`NCU$BSu-X)JXT$<)I8KDtio)DAPI{%I;cx2<$vWA6W@OO zi!Zm7p~3dO1!cJv4j_Rfaj<>uu_Ek&NNCm7On87eckIxiOZT3s=^6Oju|xaW zGw?}9-x~T145&rg@nfaWNQ7ku){(p^7}832w^&0D%o(Vf1cdBZD-t?;_rHJUvSFix z!=mAysM=Li9vXF|W#=O;yVN+aQKZHV(_VPagvqnZ<8XN$u9|A67pLANlq zy?Lt6t(o0kU0waa!{eK!xB2ArFW!9lCFzrcI1|(I*;q$`Jn3VKzPcJ#5}=v5eC#PqJZ6vCcFpkY z9=*JkRpc;E*h9lJ0{G@33Rr1o=B`Knv-`2)ak$8nkBo?u(qT+wX`yROX>fvAi z_|v}q`}+!-x`jx$?*>-K)b(dJ7RtB$ z%|qym%Gn%z+$0Xl;h=wps?GQ--Q+k*;)q!?)mM)levCP^4MVyJ@^ZOc$4?wfso$b~ z^RCa{^x?MbwJ*$mWaF`Q2@wg6W1E~VsMwHMGwQxHMZ+yj6@YnlVTklB}}eXLbFKK%WygPx3@IVCN75i;s@XxbrZ)lBWa%-o@F1U#!w#1Lt45}4ye>p=^Zm(A+x1MWs`MD zohD~mwOT<|*0T?kr5damzBR5JdGnq3-2cvd@Bch)`r7qo4!b2$;R>J3C`;vg!-DLM zV{F0R$}sz~c1Z;;H(V9R4!t_J?SL0%*6cX}XaIkUfA9JO-U&atv%Ob@HtZf07HkKs zLjOiY1$S*55gM#gG%Xc;W|4R=IJ0d0q!!znElGT|eqy7>sU14x53}g zC@@gNnh*x7c~v-|(^cW9hU@3@YG=K$;D}&*MO9TWP--m|ngGqxMNhL7-#ye_jKk*H zJ)(b@o|R?olDCeFJ+5!>UUTN-97A$p;SJo`I4@c3U;*80z#t&VEM{e86%gv=)C>gT z6+*K1AKbKM>$Ss%uzUs#8!=$maL&=}{RbcW&kG1IEGpiyYj>M;;@MoJ!CFlMk7dN9 zzP)?S`Ed%*OZT&f_|}RHnSQ$v5{D<$>otFL!J?=j03Pg*7^yms1eh zd2hXEk9fKqa(CYubDymuFC+7vuCC=VF%jt*tp^Ml7Tvf7uZL#tV55PLw=czojEf1z zJvKV{p3|;dHkaPArSt)ZcU*KZzaz+CPOfaHE6C%zEjA<^S%%o_Uy=w@%<_YkHC2D| z*dBNbSW3aS0ett+t_}{2-y8kn{{RgY|WADh1 z_w?@EA|W%k^!qi3wq_R$O06Fk61-tw{*vvvgIm^b78PDneqzV;P zj;c7lOiNu|8a-=KK|s%Mzy8|WufKl%2q;2l;o`Q>XCW`0uDd@g6E{Pjr_Y$divVKV zvqz8Ilezi%`KhTb!3O|jII!)k&~Pp?VU9TXUo<0o7P*IKgtyKh;c4`(Msj?JVzt8o zzt548kw^ukc=ztzaJYZLDuRbyyLU7A7!i8+>4PH*IqJ&=N*?_TYX9H={x>f#&x|d| z`I~RPsU6e&b}qjWYFF-`pXwh4Ko=ayDOL=g3EG^qb!)L;6`hnIK z;FDQ4Tvn0*Dps&vwVw~=m68(0a&bz(qN{DWv1KwfX$Cw^44IeO1^|5qj}=4d{)EK| zT}LR6HAIW&>DzL0ma7e*kyYJQ$AQ0+1Nv3}nNee6Fu>*jWul^A=R+z_1ARt#S^JyV zVS^Q|uRcCv7QKIG)0;dO_IX-ElPkgcRzV+gBF~j7o8)#g<04tVC*hJ2GmR@)vlw#8 zJ7MgYW7(Owf1D!Ere5#R!Gkk*?x4GpGr39E(4m9(?%IB6f9B3@n@x9E8_k?_A<1~@ z3sscpQ=5W|L4(;j~nVv7kOoT%|`N>5zZBF+VK z=x%+#TInsXwuN9vB`q>6*yeECf7%>c8P_i;EJ(tj0Yirbr8g(8jx~fmI)3bl!`Zkq zcW;FcjrntC;6|L*X=yvQtiPI~kobErb0?335FEoOrqWlIIpz3a%2zB^xAVKMTeURz za5nxjM-YF=GbeNB$-~*WL4zs>lO=+YWb48#C|_-Q_A0HaK2=y;P*&#fxJwBJ?SSiN zcrV3Gygxf#<FGBkyl@ZgMX zlq=Q{3pkiRKl#X5*fsMTjyP_*emMIJj~uX5MjU?_JFj2506!7!(n~IC)UW~Z*O8Nj zK-M(4fM^3#_De~N=FKv*_roR{G_0gF{F#?O^cmRncW0d*cnt40^ybHI8{Qx$98SaC z9$0{eEI9oAf&Bf+!JTmknBAwM$T~o zA<2I?3@zdTJtBjzi}rIz$ZldbJ8iq2-itzln?(gR9#RkfH!6=-mhP_L-xe7e=;mA2 zuKMwtFLMuOW$oB<0s85RC3wc)M6!j@cJq9I508Ba4+gC!p|Q1W&)D$LN~dSa#+->aod539OxUfz zI;_*{OEYKg%)R@PwC2gtn{$d5?>_ZMPT}f(r`PN|y=w326?;#eDsvQ6I18$s!LfhJ zp~0L2uJS{U+b!!{|~HrWD8sjgliYxntEi1L9Boh+y|#ZE^aSd3f_UDL;*bXt(^a!;yQ^!jtNfAxZfsn& za_U!;sZ)+2xbbi_EAuGVBD}Uo#*V>!ayTnv(q7{OL0=&8N3Y+qP=uw6DG_$j&;vbxY6l&)>RiamoJ79m{`~Bqt`) zUH#I=GHdFEQ6)@3LYP_OObvF2gocEMhLKHiREHr@Bk+2P_pvKHJTfdS5{}A=*AP0V zk)sH)bwPdl<&srv;^X3;c;G%x2fSy@`sy?MMF{uK@$LKXe*fV_m`k&_6JL0C-Rv2- z@4jgyqQ%9=PW$ZRwX7tf^y4MJ&RF{EjE~+XG2xNp zr^%na^}@4o(*b84FFyV#OD?lfWmB$ZmOsT?H|fLuNOiTtSq&#f$~QB6Sx9Mk<*DZ@ zD<*p5-f7tUm6i$j#Ca}odSfjf6RINW-RJ(}Jd}m|hmVL_o}7@dZ^eHS7P4*@>7ioC zv$6*&q^drYh5H#g+{r5d)?cJ*NX+C$-rUKZWX{jM-ANWNGEU7btF>Pu)d6}TRJ0&s zsp1*73O9A_RF518a7XljEVnl-g`f8`m&<3vZ&0Jb-eQTJ^ARnt9PBoy=uoP zaG2oMvc|czP#%F&vMos-zLCwaG9m|HJU0b)LwIXgwa6R|T(}%LNa5H~I=*1Wb z6X{4OKJ&Em=gdX~43b2Oq0~}9N7*6cOP3eti_yym!Et%iOwbl7_^>dMV3{f z#~LUA6#Dw%K%ZZK^vS0lee%h-UVrVn;ny0K6>ym7m)TNce;t2F%mjceZV`RP+gDzF zb@c7G;{k@xNr|LI%F8P-u~;hIDAHMX!C{3G->OE+oz|WUm?NEmLjO&2jn_Bl^RO1hM#?zC0(P{{RJO zPUzCLY8QzVLScdg z-Yz|q&=vkMh*VNOC4Z}}%Ls-$6YFf*-3S5S73Y5=)bR-F4OE(^oSq|O*gw@K zfyyi^!1IE2cXE$J8=RYTOSc_Zx)haOM2x0o-x@fF5Qfm7eWrr1lc`U2>M43NVUcJn zepVa2F0(og)RF^&zNb?546@VOMC+qyHAW?dxQiAGi}<}iV0!QdM`?~347d{h)h9kz zY944&1bTladq1U(p44bUGUk@o`Em)qO1f&ukUK}+#x~L}T)ZTuIXUVCTIQ=SzA)j5 zCtI}6xOCu^x88gcluN7<|NZ0>L$AKJW$QMbx|}cVu$DDW)s$(7GD?WCv`CcWE9v}B z+l<5Ng3ffNKGXENR`=7YAwe}^0HBFHIzwzf^=*Grm>5$Wl9ZE=&EoP>mM(aL?cQTW zwlaH)4YpUZ3m8;BIi9Y7g$pR~kimmGbm`HgMf&GoeD%S^w{ISG7mlPu_y-f;`gY3H zS##zBXL6df!V2j=t??hI9vhF`lWL!e_(8HcL%tnRcqE zD8ID4u&lBgzBoNK6>fKam7|Ct&Dc!tu6DaC+%?5cSKGvxgvhXx@^a>JTeZ1ZqScxd z(D8-?%{YOizHkM>Nob&#j6rv3*A}aYBD#NT8(uh=U9+JJ4!lAH76;xlaQq-lo>M!V zfAZ96!2-IN5wdIVzSx-PBnp9C^AB z?K^k9^Zti;z|YrTdVc)lPc>8nb<5XfOcI^nU1uXN* z*YF7_xmT;?pEn+X$LBlyv>g4-27GFocCD5|DF^&a7;rwlb=uKm+6}h45QQpicerH0WyD!WkDd_`;S6($CCo2R zO>cu6)t~gihnEbvyu94NP0Hxo2)mFR_r&+#HyHQ)bti+N%EH#7vWn_02OSkv1Wm3c zm@>VopAD}IAT+5UELDkAyJ>$MmluAbsjV~~YEB<1+Oswj+bqneg9zc(>fg!dE5?o1 zojT+BwHKa$F_vc!tLMvaytQ$|2Jit5xLGU~aPtkr zhWzKvci^34<@yax8c8RML`6oytyJ%;hEM+Cr$PNL0s4&2e*L`<^to#ImA8xv4-31r zui`&iMo*=T5%bpj9vFXc&G2h)yB#t6U3ejgjfx@}!a>KV2gh{p+U1TLN2)DS6A{BE#%yjbqMF!`Bzv`K^<>r6+gGNcMP$ z?2!-fWF?>-C(wWIMvodb=Hc-eqZY~i4&(Wig9dh`-iIcC_4Rviza{s?GK5?<@XAXr zx(FzHPNjR?&>u+&_N+k9QVpyXZBSi83eBw`d-FC4KbI(!30VX}#fb{U_LA`UNb-UpP*;NNh0A7z^3QGg6W{j`9>NxQC z;((uZt{)g$O07~q$i#*KttUrsb%Tzzs5e6 zl1;ck6I+=uB|y1Fw`y`^s&GnC=szO($kvknFO}w|aszo5v{nDb`-?%VWZblZsXELo zYl@;nW_PL2c1(&Z9@dJ63Mz#ORILgOrA>cE`tEHTVW<4a!(-sm7r9M*|D*TbejN{Q zzeiw>Ypxn{Ixlbk0maZyPPGZCCeTzYq2?+tD}U>qNeIl!-k+J34J;eaM~)uLNN6?YlIX8N*?Uck0$_sAN-LLU3%05> z)sVz$Z)y1h;CS2v_wlGvQIUEJXuo+aoihWDq2Zmw8Uy}evGhHVh2U-r-1P33mYT_VE=_&2vvc-Z*V#6F1? zyDJ=TA8K;kVQ8!gX?*iN)=v+>o_*GDte+muNKYgE^vKa!zY$X%(oZ*R95R1M=%>U0 zpGA;5@_IP}@_dWtIeX4;VPRo7;Ro|VMtb^=%^P3@J$~#%@$qpdPo2b2@W}_0(yvpk1<&J-26^>(-&fKyJd&zNqzS;W^G;c<}xr#SJ5Y8iO>H^DU z7z}RPxB;xd?1^Y)<>l{A0;5dEd_F1J?HGZt z<;eZzzt5}cXot;j_{S69!``VkUViD`F=K&=FmAj}xIyGfRxMERgU}*iS5EbfBXj1? z16RJ9BIz3f4@pHI88hZcR_2b48``9&XQYwy(1<8bnuK1LwSWIUi1*xisPW-r$J(X? z-r_zwgb%gy1XFuy({X}hB35|_MAhU*sUk(Pg zHtf-((RCM||G>3x%=%Q{e0M(bINU(rc-631o{$WX5eMEmj_00)OK3b#n=|*s$x|$0 zWmV;8Q-8q2lGSTMLqihd2yXP7_T2Xq-5_7m^SC{+=8Mb_zy$3Nvf`jpyjlIWE3G|uwF`&cpCdY~X3I|l1llZldnULnL1;M+ zOAMh!&&0(3S2mkgA5p0G>ls2}LAv(Z)l? z6Fw|F=$wzqCg~ z7}A zu2nf6JG`IW#}Dtv3;fmG0hOSc~&H@enp8}qGPz8ZBENsy zQh;nU^ffNzVYkO;w9l`x=jE5=mzAF`Dyy~yxokm|)ovU-Smkt8I$dxlS?(kj&@e|! zi;s>93xPpvRh0w4fU_Dt;FL?P{F_p0IGVuZ8QQ0nOFa4A2RFFPES)pm%mqiz?k(`w zflQh=ZF=C~As7b2=LX_G`t)}ngs+98Cu z);4?NbRGNjPl^olo<31ikmt!g>dD%D>~slM^;xG%-v09YSKj}0{gqDp2Y9iw>pQ-PK7g7^Z;&G_qDgvst4ov&e za-Qbqi}KL;kQTQziD?m)J3lYzyA#j4Y){99H9dD&LZ zsXzXl-htS<9wCr4bJKAqm#^U@$XWTrs_hpvPa4#r@x9+~8qlWEM>k$D^^YSHe%X3= zkCglSwpy`2|IyjIqM||{9h86mz{Sn3JHJ7ARPdp)>Z9e=T>e9Uj?Eb(CrK;BI4ZNmpr}K~s7!75YzP|nkRP(V|^+p^? zD+>=D-}GUP+mW5?DlDfSHsEK2y4vV-b+sQ*Ot!ni_6uM@K}jjUPhTu4^|y%$kNbWC=AnCst#6(PQz)k0BgBuSZW9 zLGRc_ILvA#uDbC|P9P+~IFpcm`KI5%nMoy&&z}4<)SAH7z}61mo`5o!m%9q`?0`0c z;1nwu!$jrLqp&`O2`Yag5F==~Wy?KLl$jY67K%y2?5jFVp?{n`2S6(JsW1{+HE*7K z>a-PdfIbiEf61U*Zif-{-fW`42;&SM6}4~ljUzAbcgZ!kj=J>f;hFnMOtFu0<|ynn zQrHw82FOjSm`bQUuUAxXRLk`#1An`Cz?MsUl=n`HZVT7;np%GwPMXtxn;YM*GYpyQ zbvhr2vmv8^KHq%J)i8fXw9Pw+{7n089#Y`}s5IW1^;9GC6m48(#UlH9W)L$!fl&G> zK+imhEX86A7}FA59U7gJu>RLSUViSiuct0Lu>aVu-G{bp&f2*(YwM;xTelw$kBo&! z`%3uEao1EjJa~V=-61uJ`5$xB6A?8Rw0G9;G#3UjwsiwH_HC4u64&HbE&$W|41Xiz zTW4g|v1>Qr*K6pOh|4bM??_!$F;unE;wqP zm0?0Yu$a}-FkBPJG7%>N9sv+@2|e|MU32El*|}>MzFgvl185vV$X^u|7QvGe&&upU ztVPF;26kqtsi}zb`|sp4pJ#@g9wbybO1S`^?VliAHx@#k!UOcOj>`bAlRBL}C$ zYey=aHUkSr2n>=5pbqePL{}I+6A#UdYtoh6qpR&uHrr*Cy^%og47$g$gc632Co%dJ z^3@I8wsq-`w+UTg4oxhg(eo0z4$wF?Id|-+%ejA!182Yi6ID~uB2{@~=$8{{5=sfg z8B0tVlRc~H^sD&YWX4q{_tl!ZNEwoYuLDD&$pJSEfF>5u(zZWlHp>=vcub57du@F0 zm}qFhbNg8J}?}#?%3~zAwC6m07bEt=Wy^2k`=bHFv;8s}siY252gg79B$6@L%=6|i)Wm;bso;nsplXUODV7UVw0Ex_zs+Cx*!Z#Q zS1rXvjdItoUJ4hek3J$j=nGO7y|mHgYHA~jg@!~&JCYj|mX?<|oJEf6g7OM?XgExs zORAkEPTZ~vrwcAP-0-U4^#prs(v#!iGdnyasIsc6+EMOtIfRw1oUu=(&uqPlQ%!%; ztzCQ%pc$*-D+B(Q!8F>&_DhG2xcsW?T6gIIKO6kUC8hqn#%P2|(AO~zff-wIZ^R#a#<9XATZP<_e<;Rm)K|Z`{Tsv$q7y{25oFs_`swTj^`j1shKo9&)|FKf_ z5RkMOMAi>Qb{u{v973r1NsdOuA%uUma|5mIeVm>~&01l1NZF;sb5ESE$j#kz{bOur z=F-gERX=^XW!{g=R<0_lsE#f>5a}*+6rDQS^osJRCQiE5`ta$Jk|Wvr8Nvr=cw{_; zg@tx%o6)j)(}cL#;E>?3(2$s@D1L{9ga#8|E>eox9R5qmX`@(j1OB5Divoy?geUyFfbbk|oSOKq6p&G>gzn9f6WUdI%o&@86Fp z0A8Eqt}6AM`^SuX@BI%4^zX+A#~=6`UA1g6ympKqM;uN-*399 zdyBOCG5y|ITIz6pbz9F?^72N0%!)}>~!I)C(u-{%VTqS z$PR$Q6GaC$Wx?@;qxkE{7;kcurm`?dBe&Vu$O;FD&!h#)3bY8Q8S@t|!rik+cXoip zt1rC3U2yP90oVcx1`dD2H*^Nwzpud^NQeSo*`FFWX8W=w^%4`F9yey!^2P89{hx=& z?p^`+&(a3Ua|Zpy1NU4+v~hOy?fzcus*$d^}7H5`_DV?yjr-if-{YgREGhMe^JjKGv+UZ z5i}fbz!3+WZ@}=GQ+(5dBC z+DqmkoX3pQYV}7VLJowe5x3)EkrAQoJ9KQ_rgMwdow|Q^?cSwJ_we{ufW6Y1CN--U zJ*;>0X7!_wu358&ooy&a2q@>;MK&BVYM0fYmg*k|KvRR@)HKoS z1jA*okX&+5R~6hXv~NZVn6+Y2ZJxw`(H7fHOCfdX%q~6g0+GZG2+l}MWERg9c_+UV z+#P=z6u@i8&p*T2hUBUQK?GaRnGSExdfylY2jJ~PpqidZNIgK~l2LpmM!|tYhc+wM zQh3-d&RP01uBC7vO)s1ZN&JP>U3DDzCvt$!{<2<7E5LPTX?i1*wlHy1fS6reZ47cM z9v-Wj_R-9Xe+pYb6LU%ECP&?xjjH+TKUO)D18KbD<^>6y9U zfb)$nzx@`wYjJka@`VegOq~kTtvU1Ne)a8?4=28dV@!5#-3*t|5=%5C7-7&_B2>SH z)%Te&j+N_I;X0Ed&NyulK&<0sSS9f00@ahVm#-;Z^~_c7?x{fyV(pFM>;u}^U%P+a z9pqf>F5e*{vp7k9$9^~nP|*P=7?Z#H_LC1MvJ(Y?{7(ILGCXD={L{}SGiS|W9ClFQ z>^XD4`0CqF9yYavgT15O}Zy=>t()Y-JfOiZFldHWFA$W|H7h!|Ipt-@1N zUhTv=gdtIpC64NnDo1%$brrmDI9-2LZV%2LtaP{=MTABK1r=9RRaQAF9o3G~f>67w z7QUJdsjaA}*kkR|xZu!BC>Ck(zkJC>1gpXYbohg}UxOt7GUZDk`}jHM=kJS(ild{W zn9F7y7#I{BbnMvimMv276NvMoWh?G{U>u(Dw?*^jEZVRu2d-JS-jI0gd+2}Dvpdc( zWH;dEf`URqLtlx8Gi%2HQupm8pwEDtH9rY9T|4wyqCwpH&B=<4ibNNzj*6?e|Ct@H z&L~T59~~VIGx?H@`PNYfjH)RJRZ&&7X46)lb6kVy(2|hcd3iQB zzBJmVz@2eqRD-BU$*G|=HpBVG7vFsQ>H8CL0^#b#3%>b&>TK$cW684R6UL3fA%v@c z|NV<^rhNMTdnXQNty;X`+wZ3`e;vXl)d};$+U;7kAIA@%g+R@DMD0547hE!6NqAe9%tF%6)(CR<+;V!F9HY9 zHs6wtF%hMs|86`d^EHh+_=I=8&w^_rRrpotiBh}eHyo?_wGYx1{KsE>}U z6$|E1nfe3d2RGQVe8K!9*#r)NzM+u@I5TOLnu;R|AAe;0LCRsqAfkH7Q9%c{fL7jW z* z%Yxy8P!D20Ck$_#e~O*uJ-&?!PfLKZDr z{H=UVq1RVmebt~rgE3>qSllhdYX@f<*9{pA)8?H^7JT;IR2VzMBS(6xRD`_r=p)3S zO!mlukg<2&G5Qh3;fcSBY2S;#`I15Own5FGiI7s&Tv=9-II?mospb|HRe1Iw4HFDj z?mJe#dUt=}s$GSrN?j{==A9_0nzi=uOJA;l;};x7cwN6%Rdml6ZsH0?H>yB^N55OL zU_OQ;_$Xj{J_5h~_FFba@x#XPC40!=D{%thl7$PtoB9Jj(E&T&uyG@zA@H<35+WRd z$Pj^i;UL&GGdc1Cr^ZL7Iq>h~^{!jL0S9ufSxbL}O}c~?mmDOrSpeJuZ7rHzhx0Fh z-)CN$X6%Afj?U*_Q0oDKCFHQFkB3?m!A>B2;>oApc>Og6gNFW)(Pq!yy+EJq)vt#R zg`Dxx6h}4GqKH2y3AeCDaqO8pZ+M9VF12<-8weCHfh`#Ck?_4 zG;Du9B!AEuZ4ii8h_G($THn^8Icwfp=?b|c=gr?@;G>F zF=rMnHa6Bzd~(zt>~7&9f;-E=xqa}nVFO^wuu}3nVcT-%dpSA%iZmPXK|v4c>yl6` zy+Ak)0+e&Xy8*VPe*70(D4LZ5R~|Gg@fmdSpEg1X@r@xxf^Q5QNyw_wExv_$a0UF>n(v5dXv@W8u&Ajt76n0db!B{nx{9 z8J%_D;8!0{f*dE^GJSSA$b`4$WxDuLpbc0flYt-_Up_iop{ZfJ0DIt zrqjsGVwzXOM|%UdZj;`c0NIAak~*cT!TiNH6pW&rtXc?PLJObcIa}wm^4NGKmLa$8 zLmy4Qtw&2(EasOx=B|I+x_#5Sn52exTz^$WXvo1+MR2p;C@C(;U2)#Qi4n&(ZE$wn z(e7bectk{K5Zu(vnEXNR_O)WRCSELcrBh-q?b9PRI;x_wYUB2uWfhgJQkr$lXnpAD z@k2+Cb?ej~_J&7JJ&v~1Z1nDn!H48N$?(NllhS~G^lnve z&xw+};?j*rN~UZ+N&KYBS7KDir`KjgRu#PT>Z{nFtKpiaR2;7L_Uo_VqtR6(t|JE? zXfJ7;^C$A6=<$CNd?fN+cT-1NB$=42DEhiiA08gzbUN#|=-T3<$Ad#7!sy3oYMUzI z%3P*S>^*wuk8HQ&xZCkdM0Aa-x;j)h$e8an61tzjJ$>cz9dzo~U)?hL4(9Mg77T4f zN}Y7kv0aAtFjEqBi`bl!aQQ1d#{`-7!2ZgAOuJ0iP91;kM1NBbsnta7+^NrF4?Ykc z8V2)H0L|36RXTEz*t${&(8S7@z<<;pmRQ4j3yV*E^u=cdd3yrwtFVK9|E1@~KJ&ui zw~W0a-?$_&&M_TK zY6W4+#N&U~ox5p)x+%QI^Zd96mo1nJFIDiqimP67z2xM27($sHG`sMOy#;`(VGf0F zy8P6y=FOYCBBEDr-bNgIpNosm1wOL&wU>{M0g^tfIv$4L=Rp*0rXNViRu%b~enHVY zo}er+fZgS(YQ#FdGx?%im-3`?%Kor{lgFVQ zLymvXaxs{>6p|=K@adnK$@~}dOCJ)j@T%Di^cfg5G6D3=vl?*er8{=+$ji^i_P##7 zdvDpg)$q()P0?bFfNTat7=Yt|;v;|GNi@Qw$sBJDIjK*DkjjdRw3aPLjvVPD&mVsH zA?NVnsA$RAk>9!$!y+S}7?|T*-MDe%mQa7UC*lPQ>o?wbgX!K$_39;&PuM9#VrQ?Qfwxvr2 zN6R37c@A-+ArZNJx?rPBj$8!zF4cbrC>?$YoJdfTZR5$9s}5Q*7&xm8o^{j$TE5|4 zf<6No2a!u{1BfpVfhhpF;Y+$DVyMn(BykC2;eWc${@I!g)WteG8~~uqZtK~}NvxvJ zJZE7JlOMT;E-57>JiH_)q%x1Es%UX(-o>vt8ut__bj78XbiV7R6N`UqQk>hV zS;Nj9JG5%wA+FF=W#Qb8jvAd-|kpk=9c=!F3=FNWq0mC0c$6gl$ zeMTbS(XYSv0c@Z9555LCvh=Y3ATVO)J!9sac}?4NmfUotW+bz_MFt{F`}WHPic52@i)yQfJSQ!k!XlR^^gBLl+PL!2b3gff4 zqRMgN6q!&O)>G80Ah$ipsS?-9;1DNHb@zoCoU5iI_*4L$Tq46D1qsql9TthUd)-M{ z^CJolY&ukM`NZXi@+-c$wFl7WR~PL0_pdurlOh^Kg)GP_5Yc~UjyCS6ReU>xAj z1(FiC7Cs%`g{nSU|I527x}42YS;G`jh&Ypdl2MCVwSl z96+H3c4?#Bd&^B&{J~GON{jQ~0i{|>os1%-a%YoYsWUNTi(U<53^eAWiF7jGQ_KMe zE^H&n%-nbU#POrYjvhXI7(fPG`ebKk@7}$8$BrF%=IDR3RL);9%JF9KHP#VbOo8LY zv5bTG_ymq{?h;xv-l4Mh#`i)ICvn?=NU6+ns*E34P+xaIvSJpH(!5)4Hl{ig2qDNS6_aG?Ml|sXR%y( zEBgHN&#_?x-ZtQW1J^CL-16g(KLY&KTYdz}B_@AeeFaooLDP2d;_ktn;!@n*ihFUl zVuj)tin|lE1b4SmT4-^1DbN;oN+G!a>3hEOo&Wuklao7}*}HRhXXngrW}mqwLl2YO zBB$B#{kx3x{*PP~*2u&JibujXINHY+5-zPJXo7wb%VwL)?6WxYpAsF_s0Dud2D2`M zLiCumRwqKa6#sU=T@`uN#;@sA_Ys(t7pV+N&UE&rAHqze88o_l|5#T1Y;l-k*2o1} zE1dz=DDOCPXROn8MHB|V$eCS?CS-Xd7wnOSN#3v7#Hqo*_6H3Fe0vq3ARN6Tm7 zI|D=2qvtUP@P#7efjdtsdOf>!a%qB<;->&_%6wGz^!pl2*VdmS)^|>r*M_ayPCVc6K)K($MACF9<9@AxNpB| zpZB99R1jU4+7xfF0Rob%OYu5vyA@o%GR^s)$NQIMwsGDbm(3X#M#iMAM=Kl>laapA zU~f_XKu@2R`^tTq&#m9B!yrPDgm7r(MD-@bx6^}&=qVNh{d0-#QUB>Q28#&WZ(V~g z!4_9f`fCIFe{;Gfyjx$O$*~>dp)5AaYtXiYM*YgFOc@v&@&?4piC>ulf*tWeCemu2 zW!|kpZRrQnyWy7)nSYs^1QapU3BAuD22zZ)CB=RHh!oLyo80e_++ez%NWlgi&~(%H z&a+K{8ymG-VnRQD);IpRsW}NeUO^xgTaWpsi}W6O;jO0g_c*S5DU*|fuWbuvDxRq~ zQB9U3vwytHh1Gy~VbaooIY1cqVjNEn^}36PL*U&|x2ur^1M#4nYYemGnAu52dE)0* z_xNujYKDC85C&)1G$^>uTap4j$>$r~PpZBYzxR71(^b=i&L&R(5cYIX64;@xlJMkp*?kTiMGp?iC+DOWz;n2aF))=e zDg=p_?kxJ$NZvm5M55dwG1i?*mm006s?RQong+{yzMX&7C6BGPJ%^ZEhLs#s?W@Nu z;JVs+&mj2mG&^D+FJFq*!p@&bjPz;4Yg<&cCn*L8p_+n3W}VZw%H^cygZ*F2OYuF3 z(lKQx6ux;Gzf>0Ib`<|Cyt}Et>4?iO+v@TWGSE6Zjoy9%yKPcVd6g->59gD;E)jhc9 zuKn2Kcb}N;xY@krcQPWzB95apwc_ZEO5PlgqRRXkaGs~WbeU;AFy$7%zVYU!mUyih zo?&WWhrp>!m<&xr7}Tv~>Z(L(%}?$9K`~X6ocO$Ty%6dY6NZeke{0bz9prJ_uv{7f#^E9*BObob67?MmQng++@n}a)pJg)ePim>*Wr;wEupo@sP!BpCS)=X}Vb4<4GXXQh`p2LV=lEKEt)2(Q*aTVVG^h85Svg#ZM zIG|w^a9kmZy+^nUDh>MN#+TwbPl2DUi<5;u?QHwOqu-WJ`RN-H?l%gGN+C`9v{6iJ zr$YbT9Ef>9Z2Crt4h81^3TQg2Q>ctImjr+VUr>^gE`^UCEx-T1(J*oNd&v`;g!KFl zXC5b1GTbEo9C2*$o$o1{A|WS1i<&vm;km=f)h3&UD9vwbZJ`=?A@n0L}?Ko54OnFJK#qIEH9@RgKZ7xGx1$nPD zo#r~#w5(@0(qIf_#QysA=Y$OR0xs(w282CdeNAU#8FG|f*A|?4ema`Jb2TiII|GW- z47{Jq>8-Frqt@ikjv?FIwjn^&Y}^Xs^z88Z6l@F{A16b>Y~o7LliU0mLC+?wr!~s# zBhvIHT?7c~Bc&gW_mLQ6Vn%$AQo@|j<5@XG@@4}jZ5b-rM{@z~wex%U z__gajJUeaI9Q+8Q*0lishnS)r?z4>u zv^i^8wU+z%XwW>Nw=rRl>!~(|Z+`A^BSFdQS~&#?s@;GQ1dxlbL^P4_$gs?}zFZcL zcJ?NHKcXhmZ0i541ZfejHho<^6mr!gTiEVE+Bx!4HR7GI`u=>d#4%uSa~1e^ zU4ZkE#~OZUEJOJPKRy5eUwbWW^@Ph0+!E65UDNuN57Jd<>{UXO2N*kQ)=r)%G?jJc zwol2LGjF!LZA4734GqCHtT)VDB3OsWoJ&&)Zd$li8+M-Bmmm_eYBobpB9S5)`!8~Q zx6EyJLVeHyv;*SzqjdWq)E^{e3aHQtXB#!mzfU)EHs~Nu8ZJQT*IOP7@OWJQJ4f_z zdq#h^va*Wbw|+YM2Xu2mE@!gqNpvOKbTM8!yDU#k9ay1W%q>~8;PfAnKoxRd*J6Df0nPwoz`Ya-@)=U35%)NdZwyiuhoHT8+idBbBbK^H=6LE(%)QP61Ld|ULWr9=FgU~l`o!9vR3 zVxS^y@O@W>HyToaq@$8$^p>JQ`0Ld}FN}~SemQ5A`}O3>b;Bj; zulvA4F~jT6!T#5)S?_xMtvXWAKbs3t;y^dpU%vvTv~~kzMQ;^;A))F4xE=m6Z;DDQlU)xqdlVgV<~`#`)GYx-QF0KS#A}o5<_M>r>(MD_GH2<1vFYDD)@B zeZ%sVF7dmKiZ|2{F^Tzw2J^NLlB;f%*9On`Jp<9NZx;G%Q$4tBJPDAjGP-T@1TH(PaT62WX;#fE|l`Wm)ceS?qXA&P#CrJs=Ny_;(6^CzLkg_ zh(Y@HJ+XmPvIk+=Y=UdBpT0hEJMKB=k>j)c9GUFUOi&fwV`_J1!qqsR09k&&I_K>7 zl7m1Ks7Ss{5dK@mSBg?o`*^Z)v-3Y;A17Df_@f5I+n4pcRy~MT&oeyyvXe}`ox4oj$^(E zC<;inzQp}L3Cq?MqkQ|={xn$_@7r*1eKooo@>__Z%lUeesvZdt_cHHKf7Br;ei0I& zJ$7?Z>Fg)&b)v6(Yi#Lt^Yr?QI1=Qpa5*ElO6bX>sx^59Cxu# zfd}nwJ#OM({)Da`cx8kT64mn2HJDvy17jq{SpBKVqj+*6c8s~$i8@T%_*xb$GDM(L zl`os0KEl5m$XFD9NpIKXPN7;eGcvtx&OUP3wF(c|u>AchCK~x?|2_ZtOqkL^ju+_f z(|o*lDol?xmz{DJxj&qkMCAu-hwiD8z(QS+Qt!u7dZR%S(yfN4-V;CS;jKnAAVj)G zihgo3kcK^mF+=e&9ydY9OXe;92ZKE>;e90Jh>&``cOZ?1^-S%kH|!?py!a9;uMX#{ zL-q`?x&CC$GL_7%V~SxN&z*hT2|XzF`!x=?e|g2K?!z^u3{{;Y4183wNdtmalMf@ZboMF-z>*yD%TqJgm^vPouQ-O7-L?LM<8nL3O$JB{Sr^I&*H7AzCE@H z|HCg=Sl5D1GBK`!*0>vRd591kwVDXeHj>lngqnm!3O?VPik8D{j4b$B31}kr=afth zlSgfj6TbUX4?uDESM*!C^r_Geg|&vlLPacj!d=>YZBoK2Rlh-xp3l1XaZPkXwqt!_ z0d?#1x#iEzIHbuT6cr9s=by}WGEX-7-fQPn#60+rW`4$0HJdfttfi}P#DolHuVm9Q zNGpuX4Pc|&P4j4v%ChIf66DifrF(~-XH(GKLod2vmRMF zgXCm4!@)6&W87F&9)T#I8qtNHO_;LJ8F)W$K>ilCvCHHsOM}P?-J*rK0=F&=&4ZqM z8baiSgrRGa>>LW%D)d05-53zp8Lq{lB zxx!LV&ta*}2`oUbtjDXZwq+biN|^faBIhU1x?Kg%=tm(B`e;}3KXr6NVA+q%!o zu&ffAVf7WoD#E`(?3e0-Q11yekhG-I+y;+&Pi|qIn~@Q4cwoL;8kuLTMQT{jJ&Ya z{Z?oY{E`WX{i;Yvlg%ye?r}jr?B#u^fK2*DBO^0&!?d8B3k?SxN-6y*aCgH4N!Vju zI>^O=ZaAWo9-lw#8QWgyi|aDqcBr8>!GrD9|)uu&OO-Dzv8}u&^n7miPjd7TM>-%{zi!z64h6pK6UaA*G8| znjye_owaB)s34-R{mYbCm6_HmwFE&?#*RcLuE(2I<@xN?3pyAQ~|5g?W=s} zi>?!w?%*MeKAQTArZ;W!DKO+%ox|!v>m57-ixNg>hIkj%OfL>yJJ$YPE_}u;_6!OM zHh*9?GW&LxdJoUnajjeK-WQ%e$Yrg*z&Kje_rY&U5)xBqyjsuFJanXi(@;fo;70H# z0lky<`@O4+Qb_9ku*%dG(qsYJ8)2VD-)|~zPUnTM`;=`C1Rm^5-r@T16sNoOy%KU1 zWfoQppmA{(4vxpdoN)Zb&LJK&msR&8hvfp$a0{tkbGNr#EbF^qjB}Pmz`DMl_nzLjk+EFmt@I{^b|Jih($1*RRZ8>iS)`FMAuk z`AETG<_;$x;ojZ}c8<$YRLc3VWY(4z0{rWupa$E2A~z&fYXazeY+ zrK@Sre{J)g`MpKcEwYUMD84YmSHqU1*UFL-I>w=2^cFraESC27CtNK4S#1yR_!e<< zquFyq?*g=MAb%4rip8Ut3b%hVzq8q32)rn()@;@z7$u6K8m2W3({j>Tnjco`>as#5 zo@M0NRdmn~>w@i#&JxBZQdFhie>6q<^PRp+&?i-*V|K%EGnU>d?je-+QX^He{bf8E z?U*}L4|p~jFDruFtfu3cu=Ga*W|IAcs3h;nOmP|k6JZ)v)7m(7_z(hqiF$6-HZX=%u_%fHu^`fKyDqn>RYMFgzM6N$(_XZ7 ze+d6`gFzrIRPCeURTn|0UI;;`fv1(u=4df|*Y({@b`CA7p?R0KkM^au?k|`6o*I3{ zq44NrB8Y6{nQ*KRe{8QUnU~seEii(HdlyQjr-wLpj=S^M?6{6D=|%0EtSn_&wK(}v zQL?{CUSZX-@=QX->M;yF=|UUHZ*<+L^kgr4c0cshejhJqU}!p<+28vtb#UGxjGX_= zF#(C>{Nk6=xG)JT%fx0M)j22J2_LKL@Zwwd-Rk<=9eBF~*_+Hm8*|*f$N|3Y)aM{b zAmMzJ2z=#zja5s&hw(e;eB!k6yEUSuea<)4+=|&Ry_&q}toT5jD}F)Xjr~$548hHg zwuPVqC$zn{>|bi0o3-6gbP&~kC708)B*?LGrtpJ;p}43%cON$3TPH`6`#)BSQ7virmRWA~Nci5cSWP z5Obo~U~1(*8&O3I3~I~S)qEa(a#YBTEeV}Y;6by#`_SGJtCx@Gtp_ek?v^`7BSRMP ztjDSSSkK6-vVX2(Xd5t54TNzMbEY32xv;gP1e&SKx+8dI(hKX;00Y?dFAP;2M(;yK zX;y_~&l=TIQ0w6|xRXNM#8&7o6s;JMjpwDP#bOx*321QW%hyti2)*W@?vXdP(+xi~jXe`DmWb8@OFgJI%CuS9 zB)PTxnmmfLX)d42vEx5Wk<-oH;kK`-ZxQK>>^^L;sFuh9DsP#IEd|6V9I+F&df~v$ zD@t8`iO4zzS)C~|@4%%^s2>9sE(sNN)8lGH2K>Jr5Xkuf^zL^0(kUEtTtm9hnc7o^H(4lJi6w>vy%qqXITq?38Y|*RiS*nvh1Z;$D-5(pjL!y%R-k@Vd*2S z%+z7a{4yh7NlL{s3@8%A(4}1l--ig(6&XKGtHo!i`^{4+aiYj>B$(G6V@oS%!hB-q z!)tfjd~|AuutHtwswDxMmSm%d0msdCn^f68|6$iGYk!lCv`pcAS(?-h5C3sF+_P-B zD+q+S*x$(d$Dp39w8bwow5Ua-g^~7Lt+)v9WHR}~!;XKPg^Tk|7>yv)^6|Jh%Hu?b zizSn!pWbkwWpRofmcwTttEl)|AfnsJRPGV=H>MMqm_0slvFd>!D}|3?2? zKI7S74VylDvpP&D<<`->3i-DoR!Oc(zV?Li*96lki#%9wS&VEaSWg$mQ?%TQXD6OA z#=9)_{fARpvT7VhLLh2(tv$$gexHRhc=t;Iaf}=_QE)@_b-qli@(+wk1mktg7joMrVG=niYGL?}$^m%PKPE(pkyHdp zmGYSuop>Gj@TU0~zq*c1{#pS)JX{&BwG6y&p|;NIVO%HhR9S83m?3~(O5yM3sDxUw)UZQ=s2VmJT^3Hg zZT>3shI*ZsSq<#(3DivG{P7v1kJJpBl35G|1dV&<7nhAVvGmwZM^rRgP`~-iRT?m^ zyJmP_!78zp9s%A-bqwJJ#5_mfbmA3-g0T981^h3~C4tyny)+%w3DGk7Y^=yiG*$o! zG^PRWb1pN_!+NE25EL{geq)m+0}ysqza7@idhyxHT&&;gqX~r5T#1f*%hN={D2~8x zCx{^tjy0uwmQH8kbrNtkZ;(^hB#0hVireZFl$;de4G`|~lNjE3ur6eH;SwEvdhJ4| z_d1St$lGmVAj!CJz%6~n~j<6gvN zrMHU;$L_;L(kd7N-BIqsey3?_T)bi-(hrYqH4BegE`=k^5M*(URnsb~Oi z^I=AZrHuE*Zf=8tp1gTpYW>lmD(Jv?)5Wf;ItpD+qng6r2xoK9(P9GN3T(D(BD}Sw zrwu8Ew#!;87xTR^tq*&S*`26xe)~+G1B9bG^l+lEErrmv$yQ1xi5wQKNQIny$G3sS zlHG1^isXj645?=-(qlnJEA1pK&OMg{GN+tbT4hD4*akMz=2UBtI#m0uQwx|gaNJ5&*Kkqw-w6=WzUInZCw7jdxf0pa$J zDHdcz9C0P=#E(g~nX@ZioB8GEb18~8lElVOGD$vGk|T3A=v6PV58ou_D-Pp1Cq_+1 zv)wWj9?scH>r00J(v9lt!dDy5H9m>?aGUt$Nt?;)u7`u|_=R?+L{06*Sku#tEV0)4 zfSV|0H@_T}?C1HcD#@%-+5QNRA*7BA2Itlc*VL(j1c52=BS*rB+3 zre>x{ar1V{7Un_gins*@uUXGxC}JVHh%>ae{Z^HpwrP3}*gax(Ivak;zEinK!<2_& ztZRTL)n;D9$hTOPvp9+nQg$MGnT@Ip{BX`V=qh*q^Bfo~1X8KPZXogpuDF}5pLFur zD6t~8n@?4DGd5=0o|;_$ig;BEeD;|TQx7E;NO-%*gV6Mo;Aj%H&EEfpRNp^EcgK<|^ND|( zoV?RbE7N+A3h2_4zlESgV&LERnU5is3?~Q!=e!YO5-Den)q$Rj3FIilcOUqeD`5ow z#e2yi%9}sie!x*`I&s|;D?Z08-yN!L7igh3Nk)Y;V%okTy6r~=Ov2Up>-KHt1AaIC zXhWQ^SfYKR)R~aJg{0xTgY+v>q-e*YX0b92EFJCXHMleTu0v7T;??h3N~&-f9+q14y4(7ItY=T&O^g$*%39 z#Yg|P_PmD>5TXEk)#MP^b;I8SzL}Y^OV#Di^0EU}vI<1{MyID<0xe7nHkT%?+#i)K z!EA`B8wwbVMW!*u}!h`x5O?n;Ws3cyYToTWE)gaY@DeY3mr)0AO8D}psgc9O= z#}TOpTlW#5j!OYmB?S`Y;82r^Jw(~ZtG6B}!_9x}N5C&&!%x#V(VLluypw*A_R#P; z8Mc%&PUib+7t_M7(DTXR$E@}3{DtxC1rsUwr(pCpRPHo$Gm^ECi4;Kaa2%a-Yb!&Cw28E4wr^D7hh zFg>>)9I=}Zg+2Fx@ia0M$FmOT2cMgl0j$eAX#^=f(<4WfO~fSnX#%V||BZ@?Pu62@ z%=6NpF#Ou9yGPFCjgC1+aCTyn5@lu50_dK%kZ84rE>sF}O_e^rE{lF1P`x#_zOqQi zwg8|e#6qd+3LjlmzIYaWC>|vdSI1Jwqwixj+_SH`pJR;DYg90;l zu}nwEgvT=LKZsRlCzj1Z=x@`{q8sPJpjk~d5s=#&UPjOdtqXqDLjp}f6xE? zB<2jS-gu_bJKeA@%e0&n|M6!W#w*pDMtU+aTcH*>BM%mwE33X^42mT~EW&+>U>KA}r5a{X^=zZ+SyfnePlKKg|N=QiP$z&ZE9DJ(V zuVN_wXJc>9MYOc^ia9f?aO}gI0CW@Aoo!Z{wiamQO(7K)Un)EaXIvI+!@2VU6gmGT zBCvbp;XH4*P=6EBL8k5j>s6gmd^{|Z@9vMn+nxYSyt95?_dNk5QqMuoQk`sO`jr7- zp5tppPT6T>5t`pi{fe21?~Tcx#};!Ql4+4Sx}t&6r*nVU6ual_1j0)o#~L%v0!1B7 zt|*d&cWAHCEhdZXwd9>^kS#}#wMQ5qXTqc87{SD|1w*h%+!(F`Ib#^@)cg5~P|32* znpOUHz3Ml<4peIom*pLbZK?3=9fddbuV-LG+$GlyY%8Rqb=PY?NClc)-Gc1lB3yeo zqv0>sRbNj9p5}cP=_UOOFef|r>47c}+QiYd5B48k2Ac~gRCXp+s&A(as*t+rR0@yX z8zWR(xX`;85Z8LNov^`uuXfE?SdXYa3$8#2G+Y*Xdv9iHOt)TZYh6YQKMJ%dEuC7I z@dOzn7xCKGlzQwRscZ>-8Z#|T3jOE%u21cT{=TnBbw`b4_Xfs3ePe}ikYbg~izs`* z(SlaPb}UF&G0MFnr3wE^^G>fvIO%MEXKRj}- z3MAGLh~^s5Y`O-vW(9#p=$%u2d}h8Oq@!%FIh);fxK;A!e0plnyyJgSvO=2o`YB=a ziDhhka(n-W(U8*1MDWyNoQ z-sZhZn79HieKP7C@D24Ljjz!uAj=<#sUcAmWTeqJg~S~=g(T?`5a}EWYKVdgIVmK0 z5LF_&IftU7IfwF(CFI*{bPPxp78noWM?r=I>G?@Q8eKp^)=@w~9?AtNyraZ`yu^mD zs+OWDEbt*NPm~xPRdEL!t~<0xBhqEQv}bTbQx5{42OqRzY@CfN{wxKg(9e zMmGI6Q0Q{$o@;SBWoY@CfYgeQTSavb{i`Z-KOuDZblH%#8v$bVL`ei$#sTBBJ%fvp z(ABp|g5wZCpixLQGWa#pPYe)58x2eZ!9@XcBc)-3AQPxyCWxag0v};K^xSiar9PIdbAhc*; zE~NZ9%zsj{QN6}YI--F~PlFBuG5oK1|1O@EFd>Pd7>tlEG%!1o`7$QtI1El2 ziw#*u2V>#?H@`U-A*2-@KE%72a8X&ah#+_dghUY1e-rxck4InxP zLmGdQ5J4Ex;ElqK1_ja_9W_K58%*<`-iE;K#{aLe>ipD@DQvJ9Qm6nm1RDpegETJq zp9=;^Bo3JPKL!T!5eH0yL?%QH>A(StvuB7$zg8Ry#2Q=K09X)68#V+&27y3A zy>Es11$sI9`FRP4`go^i4(s)ZkgJ|*T*j@->F&r^=9wu+Z{fd-ey~56)mz2#WIrRf zWyUds0EW33YXT0Q#btE;Sigc9O5TkJh72V)^YsRb|dj6Ecr&u~d= z%+XTA{WCql(o-B5{+vtmafcOWvJ)5Y4t@nTv+VnKDdz@r>;XA=L-(L7IXW77dQ_?j z{cfm)KaWv^LES6kwN^2|+^C2$&;29KQ-)S2#po!T+}KrK@8neZAC&fL7;P3c|LhXK zOIq%+GM)J5HOlJa%=0qwf@>6pj3sixlhV)azc##izS8kY~^cxKVUxW}@iOl4s znF-4@R7t8U#XG1i zSIP5lr%71qcDEb;#;Iq-?q&>|%{_*EK+Ll@adI|Fple$lFEme#RSFTCFxa*})wBn76F2>A@@~_7p z^w`Tr1S}53N-kDrxDGDL7@#P!C|&sI_R_9q33%I?6WYeG1mrUku~)ybiZ(^a@@o-% zjbMet;vdKLMkkI96#j+f&Ey4((BH}l`XoXB*OxSkEjE7&-FrC*3_H;frY|G+Qv ze$SslDF;{YyvWh%#$KADM^uO|Ol+}Kj9+`KOuigofuK|7iKwy3#4Yw79^pVBl9+%( zk8uwcWds9|YHpa7FG(IXrgMeU6HffWEl#dUTqfMzSHoA<=SxVeOFmRhn0K?GvqPY^ z{9cT3q}Y}GepIVtqoer&P$+PaAmzii(>TUmd~n!8eo-g?_D_x7myqOxMzNaHFfPxI zU)QT|uA`2AjqLE}9qcCuZO5Qm?p^Tk-5O?fe?+AKZ0@VrgpzzxlGwEQu)IOv048o; zjBeTB8Pbo&1=&tT9XQNhvaqcA^AdGX^*t7^aXBbkH5F#N`ekBk1=P=hU6t`|i7ZoB zsO`UGQj`^Ds%7^(wW55+>v{UtsT4j6)$m)iCq*9Ev5f+>VR+D6_BhAOZaE}^Mla@~ z{gfjB^B;x3ycBTPbIscfibG{C+kWN`tN5%fM~eI;j=`0syo`9vzc0Ce+CP7UyeiEE zl6KW?5&D!%pYMxJJlhL==4Y1H{IE8}_;d639o<^4@MwEjLKKAl)7Pdp?+vooD|on% z;LjYz3XQ}#zAI;8ZF!8N0t}JegRtvzpkB8d0VBkLj}-RTNYYy@NBCkMmkLALD%Yh<1jJ&p&yJuR@j11Qob6 zhb~Vq^vsFDXGtRd~_rkC4!i)%V*Bvn+}h)t7()0St&CY!^T{p!CoXT7{P94kdU4mIdQcDfV#m;?hz zSVEj+Twv3i^zSSBtJtL+1-(_J7IqN zhHfe#vcY{KYp~S>IsPgr&==q1#MO%h*c??Z4S4OihPysJ-a4AB7oETzC*K>TxI<7d z3{}&&q!l4&RuP)4rKx1t%4GSy*(Q@4ylX1b)lDoJbMAMpSs9)<{ua4famHOny?N0| zrBlMRQRH4Ccr$43)aZ>=4)2I1mGE99$SU4JYIU z3Ac$%P{vGzXk#WQxjDGKMZ^L=5~eai*=!S`ZMKPbEkSQ#Dq_$WNx}yfbzsY(mW8R% z%fi&=ZYa_iQjCycgd8IjXwn!;9gr-<Z{#UH2MPg#jp4q(Kf(UtJ%~zoI z(l(II0jWaE)?|{yt7>|IWjnxT7Mbi_-+CG87U*DUV(MM~fU9>t)b*~LFVE=gZ#98B z%291E{ye6>Y{DKNp^x-0=5POepdhr5fDWWI zvE6r}4qJkaTt3+c;<3D(p1WeD{}8TXwnn%h_p4PCs`#D*%`iqG?wart46fFH$6HN{E%s|#}WOr(WCIy;aBT|dt(#c z{_0^y@&N?F2TQ1hHQWpO@WR4NgvhYl=REa!QqOk;JMoj6fP8e`TZru+|ZH9ZI27Cx$O0O%eKN2S1SUghE<3u_M}Nv{|?#PEvT<&YL7=HnO(X zx_Uu^RPs?y2FI)kwe*R>xS(bodpd#rJ7|0yAKw@3?3M0ruH*^FL>})YNuAAzGtO#m zUd7uP0c1U9(}*B~=|Mchw7$Y$xA~A$(y4BqLUH~ofaX0z+@j16vyl?PfVfDdBKK-> z+z_z{%8^&dQ!6`KA5ROXNsQiBg5>*cZiza?xk^7vCY-lZ@v|FR@clO%eF1lP zS4VdDED&_=T9euF6AW0{l#&~Co83$60HS~kDF$G7vlFxL|9e$XL+~Ml7|aIQm>>}9f2H9;?hb)2&c^oxJ$&7QZgQ(nmWx3XYUIFy&Nb@wpvX^h!Pz>Y)^|@c z%8YrT41{En4eR0o?_8!c0pl$sPbNLS27}hT;&0v}ArS+4{GrdekZWx+p6`6u%BMd# z)iap`V;w3O2Mo&=+n29?`Gy>b)g5V+rf>MqSKm%^R9<4^B9}aNEq7(&=O!JEkgVp; z@W@Hks$7!r7Y(4!Pkuf(z>@Z~B0+IlOYHTczZDS|%RQ zM8-8@1_${!1pCcO3w1P&BxiXiQ*poKGxx*>)IY=Bp>M3Y6Z$Efy%OrvTkXM-D|z?s zU3s?Bz`1cb@)yF$Yvn=PyUME*z)Rt69HEN4=!S1ywwWIf77HacO1jY7N2Hv-wkk z($15|+mdJY7Oet(D*R7~DNDBH6%7*#)k|P<$0e{y$wXH8xuIc#PvZM~Cb{~ge_q)R z@TS|saRh^C8?|X^nTJJGx#}sanzTs-t7=#8E?J@F%N1U^Q2<;|l27zio+vh?JTuuy z;@5m-dM9?5d(KS!_#sL-!~zJqU2lf}L#x&*LGz`t;s&CmgqM>3o=G;IY4)8F@m#s(GF>@x@&+wB4{oW4U=S_4;f8^fjzso=B zl}GNi_I7CQS@&XLd!NNie+)Qq_r)hIfcO4RYd*rFlPbZQu_8=L7Fp$~aV+G6y>iY@ z_Rf3!gKxH2!_gSo5pA(N~0rxeeJ12oQu_P4c*rZ7bjvbm3m`8Cx^#KlO-R)HhgUNHfhECCMqEF*$x$KtlH*Tue@QGy4MG7F2z*$9e$pZej2^s zXr(tEmLbG?uRJWluJvU{JRFgDqQhWl^2+gTH2>$$k3iwt+e&EjyqKVyq~WO1dIVO^ zUm99rL*WDJm49V?ot{%ub2UcWD0<=z!i+ep0Q*FKGQP}e6_l-Y3vtomprIr3Ufh@c z+7C+to&%TsO@%56PbVtLnic2YEHwffkOg(}B#Q|TCX>dM_dO&(ie?x6bcyP*0*uS= z8dPrak^$>VB~{_vFIU5pI+E;@J&^%nWImVjb2KuAO=6Ow@>p2et!@W`b{%taVT@Py z4kUE+HPFFuhINJj09B@3a8ZzAP@LZ6WS$hn!^)juM9pj-AA%SCV7>C_yy)9&VHR$q z-L{SKL($fd;nnBl(&K}xN>sbHZ8ka$XFM?_2Sh= zT)UpubS8!8hHyvK@4-)pl!qRz2F-Cwvm)4#tnvbn9y<~rzb!orzh&`Ggl|?a z`lsoo^_=Dw+bs7QanVd+fAYifV^j1@hP$Q`kQ{l|F6kIp`Uw#VGJRzIpz~42ojw{0 zxEG(r9=lQtesm{mR0{phbZj`QxGU8T>eY8xa%^_tbZ_%cRA>n5ddF+!s4}uGY`th! z&x}*Y1y`x1oyk;5eZs!XMLh5HiC&8F`r2HOz_ZO9$d4p@JKiJ_KOwSumdZTR{&l7I43CDv%~qbMgkW-5WN3@5z9kEE@x+IlYk}&%o$|Hh=Q_Z`Hz2N= zXKnVA>*RPx6Exk{{MOZ_B;AYsun%*+D`8K&Y_g17sV!Y|@yZ-fZF}@S0kZdv8m#MN}>$gq?&5h`>-E+%gwzFu?!)9thHEnN8RH3&{7hm0&3IbSQA~(7is(CgxeC?;5xum z1XfhU@GCad)-h^8}Q!r|ZWnv(ar&P%o^l>Ro^ocz9+N4@pS|lk4aOT z6qmi8+`UgnsPx`pi>dYZIGs={?dY70P)Ei};2ryggBp01HDO=75SP zlG{ug_2Rr4c%ElU+1hOJ16{gyEQ2RcJL3=hsm$;Dq##J^Cq63OE^lMgUaAEg4p|SC zMs5|n-3ph51sHMs(7yF~TBIy;$?-SBubcWiw&yXm2HlvwgHVC5OJ(NwHE4iQDM2|; zCJ)upCeM^YpI<~$A-|ni@K3pqe1akrZ}9)L_i|L_pK)um?_Xe1?*c{aTP&;^E6wbW z^f{8g2`Q;le2vv#8Id6D4_GS7fleB#Qc9aqTT$wK?3EUcn3qqsO1p!ISf(Rd%bvv1 z&3ctva!@sITPzI=B6DmICxu~C8i>rk?EVdcQ!!+`*eHox_^a$^by z$!nCgGw%#XojSLL-YOiPzi-JE=;p?nAE+(w_q~<(@UO2IIXq*)%1b+z$40sU+nNgz zV0t_`Y&CSRBjcUGeyr_TE)MPJLt5d0v^qbQEy^D;v~k~(a=S?eXQd9CF1s&JCzh`x z&o9@%Z7%xIZl2o7UpWogw_X^xNP=m)9p%UxiI8TWgop@VN9rs{oqL2EQ-XT$otXHd z_Q1Ta((!vQ#WL-IsJ7L^dutkS<}XyIF0F{?x3Qy>9<&#vn`$I%Sf~6!Qg^B+BTdjR z`u@Nk=6(M{S2sU9`C-3}v$>Vth3j6W&V{a=XXOIT2VAP7KZOSml3XIxfz;QnfJ9ANDz_qqFs1)z3Oc2$ zXEaYif>@3H<>qP6<= zQ_aVqdO?1(K0fg@l2ia<8$g?LX_9bF0BP9?zpWb+6x>cbu=Hz)dwsWC!>R?DURr~w`tGa^92Wm*fAn+YS@%>-^F2dX1U5TN1_6CEl7NrH|* zl4i<*>_57jur;(IoPGkQ1G!BYl~D1^wCMO{I#zk` z#RL%yy~>90qX283z%=C1B6Bi(cZ6`#DXTs6qnM~{U|5CIPM|{G;y-ZOdMDt4_ zc(8!~6jzYnBOUKVD!$>&fds2^aSkE(1jFaldedFt%GU@U52V2pi_wK$@esAqT)V0i z`RDjPx*bM7+rwIDJNPiRZvu8!{0>~ zWrKtJi>?VN*`LF7-a5)IXW*5_mrtM{?9`n4RCg4o*I#o9uibB96PGOaZlb5qk7n<2 zvnxKsN2kjmdU2rtsbPWEatJ-Faj;4bp^A+n0b`u8XhBVR1UX12k6^^Ha>fE5$RoHw z%Nu0Sfekj_p!^$T#DEK)-=M`CG~tH_-c`UL76lB_?#BlY;Dj{bqylEB(wnXUIAK-| z2@ObaGq^Ja2!u2O2C66`_?Z8vdh%Zlh<`Nf?^+62 zrihTh8H<5|qnOGHc%q14Wd2Xh07G_RYA*k1yRm;;>ET?&{l5XIgfage|2L9g{vSI2 zAC?yhup4ro1ss+`z(9waiE*aDz}L!{nWrmb(92aKut5dE#nf*9s_m&87UV{#{xJds zf_E3(Q${FYkCuW$NX+^EDd>yDSo{w$_YWJ!J75hG!O!%+oX3Av4&=ZSBtj88Llu-# zLCD}d)1(5sd8nwt95n051SHqOI<`pp0N2p=?tr+QZO&F=c5mn50WxTOt&}0D5 zF&Chz8iEt&J&F9%fi@og&ExnnhcpocGKPa; Hlpy~HGCh=B -- 2.11.0