Microsoft Developer Studio Workspace File, Format Version 6.00 # WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE! ############################################################################### Project: "xkeymacsdll"=.\xkeymacsdll.dsp - Package Owner=<4> Package=<5> {{{ begin source code control "$/xkeymacsdll", KDAAAAAA . end source code control }}} Package=<4> {{{ }}} ############################################################################### Global: Package=<5> {{{ }}} Package=<3> {{{ }}} ###############################################################################