OSDN Git Service

de0 cv board on quartus ii 14.0
[motonesfpga/motonesfpga.git] / de0_cv_nes / de0_cv_nes.qsf
1 # -------------------------------------------------------------------------- #\r
2 #\r
3 # Copyright (C) 1991-2014 Altera Corporation. All rights reserved.\r
4 # Your use of Altera Corporation's design tools, logic functions \r
5 # and other software and tools, and its AMPP partner logic \r
6 # functions, and any output files from any of the foregoing \r
7 # (including device programming or simulation files), and any \r
8 # associated documentation or information are expressly subject \r
9 # to the terms and conditions of the Altera Program License \r
10 # Subscription Agreement, the Altera Quartus II License Agreement,\r
11 # the Altera MegaCore Function License Agreement, or other \r
12 # applicable license agreement, including, without limitation, \r
13 # that your use is for the sole purpose of programming logic \r
14 # devices manufactured by Altera and sold by Altera or its \r
15 # authorized distributors.  Please refer to the applicable \r
16 # agreement for further details.\r
17 #\r
18 # -------------------------------------------------------------------------- #\r
19 #\r
20 # Quartus II 64-Bit\r
21 # Version 14.0.0 Build 200 06/17/2014 SJ Web Edition\r
22 # Date created = 15:11:02  May 18, 2016\r
23 #\r
24 # -------------------------------------------------------------------------- #\r
25 #\r
26 # Notes:\r
27 #\r
28 # 1) The default values for assignments are stored in the file:\r
29 #               de0_cv_nes_assignment_defaults.qdf\r
30 #    If this file doesn't exist, see file:\r
31 #               assignment_defaults.qdf\r
32 #\r
33 # 2) Altera recommends that you do not modify this file. This\r
34 #    file is updated automatically by the Quartus II software\r
35 #    and any changes you make may be lost or overwritten.\r
36 #\r
37 # -------------------------------------------------------------------------- #\r
38 \r
39 \r
40 set_global_assignment -name FAMILY "Cyclone V"\r
41 set_global_assignment -name DEVICE 5CEBA4F23C7\r
42 set_global_assignment -name TOP_LEVEL_ENTITY de0_cv_nes\r
43 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 14.0\r
44 set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:11:02  MAY 18, 2016"\r
45 set_global_assignment -name LAST_QUARTUS_VERSION 14.0\r
46 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files\r
47 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0\r
48 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85\r
49 set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA\r
50 set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484\r
51 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7\r
52 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256\r
53 set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"\r
54 set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation\r
55 set_global_assignment -name VHDL_FILE de0_cv_nes.vhd\r
56 set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"\r
57 set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"\r
58 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top\r
59 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top\r
60 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top\r
61 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"\r
62 \r
63 \r
64 #clock pin\r
65 set_location_assignment PIN_N8 -to base_clk\r
66 \r
67 \r
68 #vga pin\r
69 set_location_assignment PIN_B6 -to b[3]\r
70 set_location_assignment PIN_B7 -to b[2]\r
71 set_location_assignment PIN_A8 -to b[1]\r
72 set_location_assignment PIN_A7 -to b[0]\r
73 set_location_assignment PIN_L7 -to g[3]\r
74 set_location_assignment PIN_K7 -to g[2]\r
75 set_location_assignment PIN_J7 -to g[1]\r
76 set_location_assignment PIN_J8 -to g[0]\r
77 set_location_assignment PIN_A9 -to r[0]\r
78 set_location_assignment PIN_B10 -to r[1]\r
79 set_location_assignment PIN_C9 -to r[2]\r
80 set_location_assignment PIN_A5 -to r[3]\r
81 set_location_assignment PIN_H8 -to h_sync_n\r
82 set_location_assignment PIN_G8 -to v_sync_n\r
83 \r
84 #button pin\r
85 set_location_assignment PIN_P22 -to rst_n\r
86 \r
87 \r
88 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top