3 use IEEE.std_logic_1164.all;
4 --use ieee.std_logic_unsigned.all;
5 use ieee.std_logic_arith.all;
9 entity testbench_alu is
12 architecture stimulus of testbench_alu is
14 port ( a, b, m : in std_logic_vector (7 downto 0);
15 o : out std_logic_vector (7 downto 0);
18 n, v, z : out std_logic;
22 signal aa, bb, oo, mm : std_logic_vector (7 downto 0);
23 signal ccin, ccout, nn, vv, zz, rreset : std_logic;
24 constant interval : time := 20 ns;
26 dut : alu port map (aa, bb, mm, oo, ccin, ccout, nn, vv, zz, rreset);
34 variable out_line : line;
35 variable i,j : integer;
39 write(out_line, string'("adc test 1"));
40 writeline(output, out_line);
47 write(out_line, string'("adc test 2"));
48 writeline(output, out_line);
55 write(out_line, string'("adc test 3"));
56 writeline(output, out_line);
63 write(out_line, string'("adc test 4"));
64 writeline(output, out_line);
65 aa <= conv_std_logic_vector(10#40#, 8);
66 bb <= conv_std_logic_vector(10#120#, 8);
71 write(out_line, string'("adc test 5"));
72 writeline(output, out_line);
73 aa <= conv_std_logic_vector(10#40#, 8);
74 bb <= conv_std_logic_vector(10#51#, 8);
79 write(out_line, string'("adc test 6"));
80 writeline(output, out_line);
87 write(out_line, string'("and test 1"));
88 writeline(output, out_line);
95 write(out_line, string'("and test 2"));
96 writeline(output, out_line);
103 write(out_line, string'("and test 3"));
104 writeline(output, out_line);
111 write(out_line, string'("test done"));
112 writeline(output, out_line);