OSDN Git Service

now smb all ok!!
[motonesfpga/motonesfpga.git] / de0_cv_nes / de0_cv_nes.qsf
index 40715eb..1ffb4fd 100644 (file)
@@ -97,6 +97,7 @@ set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to pi_base_clk
 #project files\r
 set_global_assignment -name VHDL_FILE mem/ram.vhd\r
 set_global_assignment -name VHDL_FILE chip_selector.vhd\r
+set_global_assignment -name VHDL_FILE apu.vhd\r
 \r
 #ppu\r
 set_global_assignment -name VHDL_FILE mem/chr_rom.vhd\r
@@ -107,7 +108,8 @@ set_global_assignment -name VHDL_FILE ppu/ppu.vhd
 #cpu\r
 set_global_assignment -name VHDL_FILE mem/prg_rom.vhd\r
 set_global_assignment -name VHDL_FILE mos6502.vhd\r
-#set_global_assignment -name VHDL_FILE dummy-mos6502.vhd\r
+#set_global_assignment -name VHDL_FILE "dummy-mos6502.vhd"\r
+#set_global_assignment -name VHDL_FILE "dummy-smb-rom.vhd"\r
 \r
 set_global_assignment -name VHDL_FILE de0_cv_nes.vhd\r
 \r
@@ -117,148 +119,131 @@ set_global_assignment -name SDC_FILE "mos6502-timing.sdc"
 \r
 #for signal trap ii setting...\r
 set_global_assignment -name ENABLE_SIGNALTAP ON\r
-set_global_assignment -name USE_SIGNALTAP_FILE "de0-cv-cpu-analyze-all.stp"\r
-set_global_assignment -name SIGNALTAP_FILE "de0-cv-cpu-analyze-all.stp"\r
+set_global_assignment -name USE_SIGNALTAP_FILE "de0-cv-debug-analyze-all.stp"\r
 \r
 set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to dbg_base_clk -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "mos6502:cpu_inst|reg_acc[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "mos6502:cpu_inst|reg_acc[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "mos6502:cpu_inst|reg_acc[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "mos6502:cpu_inst|reg_acc[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "mos6502:cpu_inst|reg_acc[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "mos6502:cpu_inst|reg_idl_h[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "mos6502:cpu_inst|reg_idl_h[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "mos6502:cpu_inst|reg_idl_h[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "mos6502:cpu_inst|reg_idl_h[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "mos6502:cpu_inst|reg_idl_h[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "mos6502:cpu_inst|reg_idl_h[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "mos6502:cpu_inst|reg_idl_h[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "mos6502:cpu_inst|reg_idl_h[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "mos6502:cpu_inst|reg_idl_l[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "mos6502:cpu_inst|reg_idl_l[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "mos6502:cpu_inst|reg_idl_l[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "mos6502:cpu_inst|reg_idl_l[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "mos6502:cpu_inst|reg_idl_l[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "mos6502:cpu_inst|reg_idl_l[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "mos6502:cpu_inst|reg_idl_l[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "mos6502:cpu_inst|reg_idl_l[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to "mos6502:cpu_inst|reg_pc_h[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to "mos6502:cpu_inst|reg_pc_h[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to "mos6502:cpu_inst|reg_pc_h[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to "mos6502:cpu_inst|reg_pc_h[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to "mos6502:cpu_inst|reg_pc_h[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to "mos6502:cpu_inst|reg_pc_h[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to "mos6502:cpu_inst|reg_pc_h[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to "mos6502:cpu_inst|reg_pc_h[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to "mos6502:cpu_inst|reg_pc_l[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to "mos6502:cpu_inst|reg_pc_l[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to "mos6502:cpu_inst|reg_pc_l[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to "mos6502:cpu_inst|reg_pc_l[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to "mos6502:cpu_inst|reg_pc_l[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to "mos6502:cpu_inst|reg_pc_l[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to "mos6502:cpu_inst|reg_pc_l[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to "mos6502:cpu_inst|reg_pc_l[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to "mos6502:cpu_inst|reg_sp[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to "mos6502:cpu_inst|reg_sp[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to "mos6502:cpu_inst|reg_sp[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to "mos6502:cpu_inst|reg_sp[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to "mos6502:cpu_inst|reg_sp[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to "mos6502:cpu_inst|reg_sp[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to "mos6502:cpu_inst|reg_sp[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to "mos6502:cpu_inst|reg_sp[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to "mos6502:cpu_inst|reg_tmp_data[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to "mos6502:cpu_inst|reg_tmp_data[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to "mos6502:cpu_inst|reg_tmp_data[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to "mos6502:cpu_inst|reg_tmp_data[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to "mos6502:cpu_inst|reg_tmp_data[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to "mos6502:cpu_inst|reg_tmp_data[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to "mos6502:cpu_inst|reg_tmp_data[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to "mos6502:cpu_inst|reg_tmp_data[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to "mos6502:cpu_inst|reg_tmp_h[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to "mos6502:cpu_inst|reg_tmp_h[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to "mos6502:cpu_inst|reg_tmp_h[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to "mos6502:cpu_inst|reg_tmp_h[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to "mos6502:cpu_inst|reg_tmp_h[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to "mos6502:cpu_inst|reg_tmp_h[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to "mos6502:cpu_inst|reg_tmp_h[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to "mos6502:cpu_inst|reg_tmp_h[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to "mos6502:cpu_inst|reg_tmp_l[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "mos6502:cpu_inst|reg_tmp_l[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "mos6502:cpu_inst|reg_tmp_l[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "mos6502:cpu_inst|reg_tmp_l[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "mos6502:cpu_inst|reg_tmp_l[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to "mos6502:cpu_inst|reg_tmp_l[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to "mos6502:cpu_inst|reg_tmp_l[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to "mos6502:cpu_inst|reg_tmp_l[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to "mos6502:cpu_inst|reg_x[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to "mos6502:cpu_inst|reg_x[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to "mos6502:cpu_inst|reg_x[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to "mos6502:cpu_inst|reg_x[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to "mos6502:cpu_inst|reg_x[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to "mos6502:cpu_inst|reg_x[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to "mos6502:cpu_inst|reg_x[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to "mos6502:cpu_inst|reg_x[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to "mos6502:cpu_inst|reg_y[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to "mos6502:cpu_inst|reg_y[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to "mos6502:cpu_inst|reg_y[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to "mos6502:cpu_inst|reg_y[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to "mos6502:cpu_inst|reg_y[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to "mos6502:cpu_inst|reg_y[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to "mos6502:cpu_inst|reg_y[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to "mos6502:cpu_inst|reg_y[7]" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=8192" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to pi_rst_n -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to po_exc_cnt[0] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to po_exc_cnt[10] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to po_exc_cnt[11] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to po_exc_cnt[12] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to po_exc_cnt[13] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to po_exc_cnt[14] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to po_exc_cnt[15] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to po_exc_cnt[16] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to po_exc_cnt[17] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to po_exc_cnt[18] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to po_exc_cnt[19] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to po_exc_cnt[1] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to po_exc_cnt[20] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to po_exc_cnt[21] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to po_exc_cnt[22] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to po_exc_cnt[23] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to po_exc_cnt[24] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to po_exc_cnt[25] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to po_exc_cnt[26] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to po_exc_cnt[27] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to po_exc_cnt[28] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to po_exc_cnt[29] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to po_exc_cnt[2] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to po_exc_cnt[30] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to po_exc_cnt[31] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to po_exc_cnt[32] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to po_exc_cnt[33] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to po_exc_cnt[34] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to po_exc_cnt[35] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to po_exc_cnt[36] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to po_exc_cnt[37] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to po_exc_cnt[38] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to po_exc_cnt[39] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to po_exc_cnt[3] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to po_exc_cnt[40] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to po_exc_cnt[41] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to po_exc_cnt[42] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to po_exc_cnt[43] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to po_exc_cnt[44] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to po_exc_cnt[45] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to po_exc_cnt[46] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to po_exc_cnt[47] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to po_exc_cnt[48] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to po_exc_cnt[49] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to po_exc_cnt[4] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to po_exc_cnt[50] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to po_exc_cnt[51] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to po_exc_cnt[52] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to po_exc_cnt[53] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to po_exc_cnt[54] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to po_exc_cnt[55] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to po_exc_cnt[56] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to po_exc_cnt[57] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to po_exc_cnt[58] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to po_exc_cnt[59] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to po_exc_cnt[5] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to po_exc_cnt[60] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to po_exc_cnt[61] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to po_exc_cnt[62] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to po_exc_cnt[63] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to po_exc_cnt[6] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to po_exc_cnt[7] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to po_exc_cnt[8] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to po_exc_cnt[9] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0\r
@@ -299,262 +284,199 @@ set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -t
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0\r
 set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_idl_h[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_idl_h[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_idl_h[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_idl_h[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_idl_h[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_idl_h[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_idl_h[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_idl_h[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "mos6502:cpu_inst|reg_idl_l[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "mos6502:cpu_inst|reg_idl_l[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "mos6502:cpu_inst|reg_idl_l[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "mos6502:cpu_inst|reg_idl_l[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "mos6502:cpu_inst|reg_idl_l[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "mos6502:cpu_inst|reg_idl_l[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "mos6502:cpu_inst|reg_idl_l[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "mos6502:cpu_inst|reg_idl_l[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "mos6502:cpu_inst|reg_pc_h[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "mos6502:cpu_inst|reg_pc_h[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "mos6502:cpu_inst|reg_pc_h[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "mos6502:cpu_inst|reg_pc_h[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "mos6502:cpu_inst|reg_pc_h[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "mos6502:cpu_inst|reg_pc_h[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "mos6502:cpu_inst|reg_pc_h[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "mos6502:cpu_inst|reg_pc_h[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "mos6502:cpu_inst|reg_pc_l[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "mos6502:cpu_inst|reg_pc_l[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "mos6502:cpu_inst|reg_pc_l[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "mos6502:cpu_inst|reg_pc_l[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "mos6502:cpu_inst|reg_pc_l[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "mos6502:cpu_inst|reg_pc_l[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "mos6502:cpu_inst|reg_pc_l[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "mos6502:cpu_inst|reg_pc_l[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "mos6502:cpu_inst|reg_sp[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "mos6502:cpu_inst|reg_sp[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "mos6502:cpu_inst|reg_sp[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "mos6502:cpu_inst|reg_sp[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "mos6502:cpu_inst|reg_sp[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "mos6502:cpu_inst|reg_sp[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "mos6502:cpu_inst|reg_sp[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "mos6502:cpu_inst|reg_sp[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "mos6502:cpu_inst|reg_tmp_data[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "mos6502:cpu_inst|reg_tmp_data[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "mos6502:cpu_inst|reg_tmp_data[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "mos6502:cpu_inst|reg_tmp_data[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "mos6502:cpu_inst|reg_tmp_data[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "mos6502:cpu_inst|reg_tmp_data[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "mos6502:cpu_inst|reg_tmp_data[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "mos6502:cpu_inst|reg_tmp_data[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "mos6502:cpu_inst|reg_tmp_h[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "mos6502:cpu_inst|reg_tmp_h[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "mos6502:cpu_inst|reg_tmp_h[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "mos6502:cpu_inst|reg_tmp_h[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "mos6502:cpu_inst|reg_tmp_h[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "mos6502:cpu_inst|reg_tmp_h[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "mos6502:cpu_inst|reg_tmp_h[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "mos6502:cpu_inst|reg_tmp_h[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "mos6502:cpu_inst|reg_tmp_l[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "mos6502:cpu_inst|reg_tmp_l[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "mos6502:cpu_inst|reg_tmp_l[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "mos6502:cpu_inst|reg_tmp_l[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "mos6502:cpu_inst|reg_tmp_l[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "mos6502:cpu_inst|reg_tmp_l[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "mos6502:cpu_inst|reg_tmp_l[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "mos6502:cpu_inst|reg_tmp_l[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "mos6502:cpu_inst|reg_x[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "mos6502:cpu_inst|reg_x[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "mos6502:cpu_inst|reg_x[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "mos6502:cpu_inst|reg_x[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "mos6502:cpu_inst|reg_x[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "mos6502:cpu_inst|reg_x[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "mos6502:cpu_inst|reg_x[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "mos6502:cpu_inst|reg_x[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "mos6502:cpu_inst|reg_y[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "mos6502:cpu_inst|reg_y[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "mos6502:cpu_inst|reg_y[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "mos6502:cpu_inst|reg_y[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "mos6502:cpu_inst|reg_y[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "mos6502:cpu_inst|reg_y[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "mos6502:cpu_inst|reg_y[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "mos6502:cpu_inst|reg_y[7]" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=4096" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=4096" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to pi_rst_n -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to po_dbg_cnt[0] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to po_dbg_cnt[10] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to po_dbg_cnt[11] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to po_dbg_cnt[12] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to po_dbg_cnt[13] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to po_dbg_cnt[14] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to po_dbg_cnt[15] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to po_dbg_cnt[16] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to po_dbg_cnt[17] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to po_dbg_cnt[18] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to po_dbg_cnt[19] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to po_dbg_cnt[1] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to po_dbg_cnt[20] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to po_dbg_cnt[21] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to po_dbg_cnt[22] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to po_dbg_cnt[23] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to po_dbg_cnt[24] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to po_dbg_cnt[25] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to po_dbg_cnt[26] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to po_dbg_cnt[27] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to po_dbg_cnt[28] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to po_dbg_cnt[29] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to po_dbg_cnt[2] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to po_dbg_cnt[30] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to po_dbg_cnt[31] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to po_dbg_cnt[32] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to po_dbg_cnt[33] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to po_dbg_cnt[34] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to po_dbg_cnt[35] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to po_dbg_cnt[36] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to po_dbg_cnt[37] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to po_dbg_cnt[38] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to po_dbg_cnt[39] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to po_dbg_cnt[3] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to po_dbg_cnt[40] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to po_dbg_cnt[41] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to po_dbg_cnt[42] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to po_dbg_cnt[43] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to po_dbg_cnt[44] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to po_dbg_cnt[45] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to po_dbg_cnt[46] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to po_dbg_cnt[47] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to po_dbg_cnt[48] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to po_dbg_cnt[49] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to po_dbg_cnt[4] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to po_dbg_cnt[50] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to po_dbg_cnt[51] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to po_dbg_cnt[52] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to po_dbg_cnt[53] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to po_dbg_cnt[54] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to po_dbg_cnt[55] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to po_dbg_cnt[56] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to po_dbg_cnt[57] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to po_dbg_cnt[58] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to po_dbg_cnt[59] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to po_dbg_cnt[5] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to po_dbg_cnt[60] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[194] -to po_dbg_cnt[61] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[195] -to po_dbg_cnt[62] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[196] -to po_dbg_cnt[63] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[197] -to po_dbg_cnt[6] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[198] -to po_dbg_cnt[7] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[199] -to po_dbg_cnt[8] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[200] -to po_dbg_cnt[9] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[201] -to reg_r_nw -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[202] -to reg_tmp_carry -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[203] -to reg_tmp_condition -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[204] -to reg_tmp_ovf -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[205] -to reg_tmp_pg_crossed -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to pi_rst_n -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to po_dbg_cnt[0] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to po_dbg_cnt[10] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to po_dbg_cnt[11] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to po_dbg_cnt[12] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to po_dbg_cnt[13] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to po_dbg_cnt[14] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to po_dbg_cnt[15] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to po_dbg_cnt[16] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to po_dbg_cnt[17] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to po_dbg_cnt[18] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to po_dbg_cnt[19] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to po_dbg_cnt[1] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to po_dbg_cnt[20] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to po_dbg_cnt[21] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to po_dbg_cnt[22] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to po_dbg_cnt[23] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to po_dbg_cnt[24] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to po_dbg_cnt[25] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to po_dbg_cnt[26] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to po_dbg_cnt[27] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to po_dbg_cnt[28] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to po_dbg_cnt[29] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to po_dbg_cnt[2] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to po_dbg_cnt[30] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to po_dbg_cnt[31] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to po_dbg_cnt[32] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to po_dbg_cnt[33] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to po_dbg_cnt[34] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to po_dbg_cnt[35] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to po_dbg_cnt[36] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to po_dbg_cnt[37] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to po_dbg_cnt[38] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to po_dbg_cnt[39] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to po_dbg_cnt[3] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to po_dbg_cnt[40] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to po_dbg_cnt[41] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to po_dbg_cnt[42] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to po_dbg_cnt[43] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to po_dbg_cnt[44] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to po_dbg_cnt[45] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to po_dbg_cnt[46] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to po_dbg_cnt[47] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to po_dbg_cnt[48] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to po_dbg_cnt[49] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to po_dbg_cnt[4] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to po_dbg_cnt[50] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to po_dbg_cnt[51] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to po_dbg_cnt[52] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to po_dbg_cnt[53] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to po_dbg_cnt[54] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to po_dbg_cnt[55] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to po_dbg_cnt[56] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to po_dbg_cnt[57] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to po_dbg_cnt[58] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to po_dbg_cnt[59] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to po_dbg_cnt[5] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to po_dbg_cnt[60] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to po_dbg_cnt[61] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to po_dbg_cnt[62] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to po_dbg_cnt[63] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to po_dbg_cnt[6] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to po_dbg_cnt[7] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to po_dbg_cnt[8] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to po_dbg_cnt[9] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to reg_r_nw -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to reg_tmp_carry -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to reg_tmp_condition -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to reg_tmp_ovf -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to reg_tmp_pg_crossed -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=206" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=206" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=644" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=62991" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=29609" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_FILE "de0-cv-cpu-analyze-all_auto_stripped.stp"\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to "render:render_inst|reg_spr0_hit" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to "render:render_inst|reg_spr_x[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to "render:render_inst|reg_spr_x[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to "render:render_inst|reg_spr_x[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to "render:render_inst|reg_spr_x[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to "render:render_inst|reg_spr_x[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to "render:render_inst|reg_spr_x[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to "render:render_inst|reg_spr_x[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "render:render_inst|reg_spr_x[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=117" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=378" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to pi_rst_n -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to po_exc_cnt[0] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to po_exc_cnt[10] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to po_exc_cnt[11] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to po_exc_cnt[12] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to po_exc_cnt[13] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to po_exc_cnt[14] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to po_exc_cnt[15] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to po_exc_cnt[16] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to po_exc_cnt[17] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to po_exc_cnt[18] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to po_exc_cnt[19] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to po_exc_cnt[1] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to po_exc_cnt[20] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to po_exc_cnt[21] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to po_exc_cnt[22] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to po_exc_cnt[23] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to po_exc_cnt[24] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to po_exc_cnt[25] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to po_exc_cnt[26] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to po_exc_cnt[27] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to po_exc_cnt[28] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to po_exc_cnt[29] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to po_exc_cnt[2] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to po_exc_cnt[30] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to po_exc_cnt[31] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to po_exc_cnt[32] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to po_exc_cnt[33] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to po_exc_cnt[34] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to po_exc_cnt[35] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to po_exc_cnt[36] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to po_exc_cnt[37] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to po_exc_cnt[38] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to po_exc_cnt[39] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to po_exc_cnt[3] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to po_exc_cnt[40] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to po_exc_cnt[41] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to po_exc_cnt[42] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to po_exc_cnt[43] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to po_exc_cnt[44] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to po_exc_cnt[45] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to po_exc_cnt[46] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to po_exc_cnt[47] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to po_exc_cnt[48] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to po_exc_cnt[49] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to po_exc_cnt[4] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to po_exc_cnt[50] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to po_exc_cnt[51] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to po_exc_cnt[52] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to po_exc_cnt[53] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to po_exc_cnt[54] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to po_exc_cnt[55] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to po_exc_cnt[56] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to po_exc_cnt[57] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to po_exc_cnt[58] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to po_exc_cnt[59] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to po_exc_cnt[5] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to po_exc_cnt[60] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to po_exc_cnt[61] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to po_exc_cnt[62] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to po_exc_cnt[63] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to po_exc_cnt[6] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to po_exc_cnt[7] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to po_exc_cnt[8] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to po_exc_cnt[9] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "render:render_inst|reg_sft_ptn_h[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "render:render_inst|reg_sft_ptn_h[10]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "render:render_inst|reg_sft_ptn_h[11]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "render:render_inst|reg_sft_ptn_h[12]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "render:render_inst|reg_sft_ptn_h[13]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "render:render_inst|reg_sft_ptn_h[14]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "render:render_inst|reg_sft_ptn_h[15]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "render:render_inst|reg_sft_ptn_h[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "render:render_inst|reg_sft_ptn_h[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "render:render_inst|reg_sft_ptn_h[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "render:render_inst|reg_sft_ptn_h[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "render:render_inst|reg_sft_ptn_h[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "render:render_inst|reg_sft_ptn_h[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "render:render_inst|reg_sft_ptn_h[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "render:render_inst|reg_sft_ptn_h[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "render:render_inst|reg_sft_ptn_h[9]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "render:render_inst|reg_sft_ptn_l[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "render:render_inst|reg_sft_ptn_l[10]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "render:render_inst|reg_sft_ptn_l[11]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "render:render_inst|reg_sft_ptn_l[12]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "render:render_inst|reg_sft_ptn_l[13]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "render:render_inst|reg_sft_ptn_l[14]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "render:render_inst|reg_sft_ptn_l[15]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "render:render_inst|reg_sft_ptn_l[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "render:render_inst|reg_sft_ptn_l[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "render:render_inst|reg_sft_ptn_l[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "render:render_inst|reg_sft_ptn_l[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "render:render_inst|reg_sft_ptn_l[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "render:render_inst|reg_sft_ptn_l[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "render:render_inst|reg_sft_ptn_l[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "render:render_inst|reg_sft_ptn_l[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "render:render_inst|reg_sft_ptn_l[9]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "render:render_inst|reg_spr0_eval" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "render:render_inst|reg_spr0_hit" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "render:render_inst|reg_spr_ptn_h[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "render:render_inst|reg_spr_ptn_h[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "render:render_inst|reg_spr_ptn_h[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "render:render_inst|reg_spr_ptn_h[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "render:render_inst|reg_spr_ptn_h[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "render:render_inst|reg_spr_ptn_h[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "render:render_inst|reg_spr_ptn_h[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "render:render_inst|reg_spr_ptn_h[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "render:render_inst|reg_spr_ptn_l[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "render:render_inst|reg_spr_ptn_l[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "render:render_inst|reg_spr_ptn_l[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to "render:render_inst|reg_spr_ptn_l[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to "render:render_inst|reg_spr_ptn_l[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to "render:render_inst|reg_spr_ptn_l[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to "render:render_inst|reg_spr_ptn_l[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to "render:render_inst|reg_spr_ptn_l[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to "render:render_inst|reg_spr_x[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to "render:render_inst|reg_spr_x[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to "render:render_inst|reg_spr_x[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to "render:render_inst|reg_spr_x[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[209] -to "render:render_inst|reg_spr_x[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[210] -to "render:render_inst|reg_spr_x[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[211] -to "render:render_inst|reg_spr_x[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[212] -to "render:render_inst|reg_spr_x[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[214] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[215] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=216" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=11560" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=19580" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp"\r
 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file