OSDN Git Service

now smb all ok!! motonesfpga-de0-cv-0.9.0
authorastoria-d <astoria-d@mail.goo.ne.jp>
Sat, 8 Oct 2016 07:29:54 +0000 (16:29 +0900)
committerastoria-d <astoria-d@mail.goo.ne.jp>
Sat, 8 Oct 2016 07:29:54 +0000 (16:29 +0900)
de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp
de0_cv_nes/de0_cv_nes.qsf

index 523e63e..f122c9a 100644 (file)
@@ -4,7 +4,7 @@
   </display_tree>\r
   <instance entity_name="sld_signaltap" is_auto_node="yes" is_expanded="true" name="auto_signaltap_0" source_file="sld_signaltap.vhd">\r
     <node_ip_info instance_id="0" mfg_id="110" node_id="0" version="6"/>\r
-    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/09/25 00:31:55  #0">\r
+    <signal_set global_temp="1" is_expanded="true" name="signal_set: 2016/10/08 15:02:02  #0">\r
       <clock name="dbg_base_clk" polarity="posedge" tap_mode="classic"/>\r
       <config ram_type="M4K" reserved_data_nodes="0" reserved_storage_qualifier_nodes="0" reserved_trigger_nodes="0" sample_depth="8192" trigger_in_enable="no" trigger_out_enable="no"/>\r
       <top_entity/>\r
           <wire name="mos6502:cpu_inst|reg_addr[7]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_addr[8]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_addr[9]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[0]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[1]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[2]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[3]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[4]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[5]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[6]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_in[7]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[0]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[1]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[2]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[3]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[4]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[5]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[6]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_d_out[7]" tap_mode="classic"/>\r
           <wire name="pi_rst_n" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[0]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[10]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[11]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[12]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[13]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[14]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[15]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[16]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[17]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[18]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[19]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[1]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[20]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[21]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[22]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[23]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[24]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[25]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[26]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[27]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[28]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[29]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[2]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[30]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[31]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[32]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[33]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[34]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[35]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[36]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[37]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[38]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[39]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[3]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[40]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[41]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[42]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[43]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[44]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[45]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[46]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[47]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[48]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[49]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[4]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[50]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[51]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[52]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[53]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[54]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[55]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[56]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[57]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[58]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[59]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[5]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[60]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[61]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[62]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[63]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[6]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[7]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[8]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[9]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[0]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[10]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[11]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_ppu_status[5]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_ppu_status[6]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_ppu_status[7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr0_hit" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][7]" tap_mode="classic"/>\r
+          <wire name="mos6502:cpu_inst|reg_oe_n" tap_mode="probeonly"/>\r
+          <wire name="mos6502:cpu_inst|reg_we_n" tap_mode="probeonly"/>\r
           <wire name="ppu:ppu_inst|reg_vblank_n" tap_mode="probeonly"/>\r
         </trigger_input_vec>\r
         <data_input_vec>\r
           <wire name="mos6502:cpu_inst|reg_inst[5]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_inst[6]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_inst[7]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[0]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[1]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[2]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[3]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[4]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[5]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[6]" tap_mode="classic"/>\r
-          <wire name="mos6502:cpu_inst|reg_status[7]" tap_mode="classic"/>\r
           <wire name="pi_rst_n" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[0]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[10]" tap_mode="classic"/>\r
           <wire name="ppu:ppu_inst|reg_ppu_mask[5]" tap_mode="classic"/>\r
           <wire name="ppu:ppu_inst|reg_ppu_mask[6]" tap_mode="classic"/>\r
           <wire name="ppu:ppu_inst|reg_ppu_mask[7]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_b[0]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_b[1]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_b[2]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_b[3]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_g[0]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_g[1]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_g[2]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_g[3]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_h_sync_n" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_nes_x[0]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_nes_x[1]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_nes_x[2]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_ppu_status[5]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_ppu_status[6]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_ppu_status[7]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_r[0]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_r[1]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_r[2]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_r[3]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[0]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[10]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[11]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[12]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[13]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[1]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[2]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[3]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[4]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[5]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[6]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[7]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[8]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_addr[9]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[0]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[1]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[2]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[3]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[4]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[5]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[6]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_data[7]" tap_mode="classic"/>\r
-          <wire name="render:render_inst|reg_v_sync_n" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[10]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[11]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[12]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[13]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[14]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[15]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[8]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[9]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[10]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[11]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[12]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[13]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[14]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[15]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[8]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[9]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr0_eval" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr0_hit" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][7]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_oe_n" tap_mode="probeonly"/>\r
           <wire name="mos6502:cpu_inst|reg_we_n" tap_mode="probeonly"/>\r
           <wire name="ppu:ppu_inst|reg_vblank_n" tap_mode="probeonly"/>\r
         </data_input_vec>\r
         <storage_qualifier_input_vec>\r
+          <wire name="apu:apu_inst|reg_spr_addr[0]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_addr[1]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_addr[2]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_addr[3]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_addr[4]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_addr[5]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_addr[6]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_addr[7]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[0]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[1]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[2]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[3]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[4]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[5]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[6]" tap_mode="classic"/>\r
+          <wire name="apu:apu_inst|reg_spr_data[7]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_acc[0]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_acc[1]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_acc[2]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_y[6]" tap_mode="classic"/>\r
           <wire name="mos6502:cpu_inst|reg_y[7]" tap_mode="classic"/>\r
           <wire name="pi_rst_n" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[0]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[10]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[11]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[12]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[13]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[14]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[15]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[16]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[17]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[18]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[19]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[1]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[20]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[21]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[22]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[23]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[24]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[25]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[26]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[27]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[28]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[29]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[2]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[30]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[31]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[32]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[33]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[34]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[35]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[36]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[37]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[38]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[39]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[3]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[40]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[41]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[42]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[43]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[44]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[45]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[46]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[47]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[48]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[49]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[4]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[50]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[51]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[52]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[53]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[54]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[55]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[56]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[57]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[58]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[59]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[5]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[60]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[61]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[62]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[63]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[6]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[7]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[8]" tap_mode="classic"/>\r
-          <wire name="po_dbg_cnt[9]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[0]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[10]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[11]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[7]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[8]" tap_mode="classic"/>\r
           <wire name="po_exc_cnt[9]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[0]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[1]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[2]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[3]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[4]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[5]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[6]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_addr[7]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[0]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[1]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[2]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[3]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[4]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[5]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[6]" tap_mode="classic"/>\r
+          <wire name="ppu:ppu_inst|reg_oam_data[7]" tap_mode="classic"/>\r
           <wire name="ppu:ppu_inst|reg_ppu_ctrl[0]" tap_mode="classic"/>\r
           <wire name="ppu:ppu_inst|reg_ppu_ctrl[1]" tap_mode="classic"/>\r
           <wire name="ppu:ppu_inst|reg_ppu_ctrl[2]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_r[1]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_r[2]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_r[3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[10]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[11]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[12]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[13]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[14]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[15]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[8]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_h[9]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[10]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[11]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[12]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[13]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[14]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[15]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[8]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_sft_ptn_l[9]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr0_eval" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr0_hit" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_h[0][7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_ptn_l[0][7]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][0]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][1]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][2]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][3]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][4]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][5]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][6]" tap_mode="classic"/>\r
+          <wire name="render:render_inst|reg_spr_x[0][7]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_v_addr[0]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_v_addr[10]" tap_mode="classic"/>\r
           <wire name="render:render_inst|reg_v_addr[11]" tap_mode="classic"/>\r
       </signal_vec>\r
       <presentation>\r
         <unified_setup_data_view>\r
-          <node name="po_dbg_cnt" order="msb_to_lsb" type="output pin">\r
-            <node data_index="108" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="76" type="output pin"/>\r
-            <node data_index="107" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="75" type="output pin"/>\r
-            <node data_index="106" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="74" type="output pin"/>\r
-            <node data_index="105" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="73" type="output pin"/>\r
-            <node data_index="103" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="71" type="output pin"/>\r
-            <node data_index="102" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="70" type="output pin"/>\r
-            <node data_index="101" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="69" type="output pin"/>\r
-            <node data_index="100" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="68" type="output pin"/>\r
-            <node data_index="99" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="67" type="output pin"/>\r
-            <node data_index="98" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="66" type="output pin"/>\r
-            <node data_index="97" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="65" type="output pin"/>\r
-            <node data_index="96" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="64" type="output pin"/>\r
-            <node data_index="95" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="63" type="output pin"/>\r
-            <node data_index="94" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="62" type="output pin"/>\r
-            <node data_index="92" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="60" type="output pin"/>\r
-            <node data_index="91" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="59" type="output pin"/>\r
-            <node data_index="90" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="58" type="output pin"/>\r
-            <node data_index="89" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="57" type="output pin"/>\r
-            <node data_index="88" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="56" type="output pin"/>\r
-            <node data_index="87" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="55" type="output pin"/>\r
-            <node data_index="86" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="54" type="output pin"/>\r
-            <node data_index="85" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="53" type="output pin"/>\r
-            <node data_index="84" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="52" type="output pin"/>\r
-            <node data_index="83" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="51" type="output pin"/>\r
-            <node data_index="81" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="49" type="output pin"/>\r
-            <node data_index="80" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="48" type="output pin"/>\r
-            <node data_index="79" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="47" type="output pin"/>\r
-            <node data_index="78" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="46" type="output pin"/>\r
-            <node data_index="77" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="45" type="output pin"/>\r
-            <node data_index="76" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="44" type="output pin"/>\r
-            <node data_index="75" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="43" type="output pin"/>\r
-            <node data_index="74" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="42" type="output pin"/>\r
-            <node data_index="73" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="41" type="output pin"/>\r
-            <node data_index="72" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="40" type="output pin"/>\r
-            <node data_index="70" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="38" type="output pin"/>\r
-            <node data_index="69" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="37" type="output pin"/>\r
-            <node data_index="68" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-            <node data_index="67" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-            <node data_index="66" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-            <node data_index="65" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="33" type="output pin"/>\r
-            <node data_index="64" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="32" type="output pin"/>\r
-            <node data_index="63" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="31" type="output pin"/>\r
-            <node data_index="62" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="30" type="output pin"/>\r
-            <node data_index="61" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="29" type="output pin"/>\r
-            <node data_index="59" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="27" type="output pin"/>\r
-            <node data_index="58" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="26" type="output pin"/>\r
-            <node data_index="57" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="25" type="output pin"/>\r
-            <node data_index="56" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="24" type="output pin"/>\r
-            <node data_index="55" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="23" type="output pin"/>\r
-            <node data_index="54" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="22" type="output pin"/>\r
-            <node data_index="53" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="21" type="output pin"/>\r
-            <node data_index="52" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="20" type="output pin"/>\r
-            <node data_index="51" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="19" type="output pin"/>\r
-            <node data_index="50" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="18" type="output pin"/>\r
-            <node data_index="112" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="80" type="output pin"/>\r
-            <node data_index="111" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="79" type="output pin"/>\r
-            <node data_index="110" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="78" type="output pin"/>\r
-            <node data_index="109" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="77" type="output pin"/>\r
-            <node data_index="104" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="72" type="output pin"/>\r
-            <node data_index="93" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="61" type="output pin"/>\r
-            <node data_index="82" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="50" type="output pin"/>\r
-            <node data_index="71" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="39" type="output pin"/>\r
-            <node data_index="60" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="28" type="output pin"/>\r
-            <node data_index="49" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          </node>\r
-          <node name="po_exc_cnt" order="msb_to_lsb" type="output pin">\r
-            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="140" type="output pin"/>\r
-            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="139" type="output pin"/>\r
-            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="138" type="output pin"/>\r
-            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="137" type="output pin"/>\r
-            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="135" type="output pin"/>\r
-            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="134" type="output pin"/>\r
-            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="133" type="output pin"/>\r
-            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="132" type="output pin"/>\r
-            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="131" type="output pin"/>\r
-            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="130" type="output pin"/>\r
-            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="129" type="output pin"/>\r
-            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="128" type="output pin"/>\r
-            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="127" type="output pin"/>\r
-            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="126" type="output pin"/>\r
-            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="124" type="output pin"/>\r
-            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="123" type="output pin"/>\r
-            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="122" type="output pin"/>\r
-            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="121" type="output pin"/>\r
-            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="120" type="output pin"/>\r
-            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="119" type="output pin"/>\r
-            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="118" type="output pin"/>\r
-            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="117" type="output pin"/>\r
-            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="116" type="output pin"/>\r
-            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="115" type="output pin"/>\r
-            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="113" type="output pin"/>\r
-            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="112" type="output pin"/>\r
-            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="111" type="output pin"/>\r
-            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="110" type="output pin"/>\r
-            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="109" type="output pin"/>\r
-            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="108" type="output pin"/>\r
-            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="107" type="output pin"/>\r
-            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="106" type="output pin"/>\r
-            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="105" type="output pin"/>\r
-            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="104" type="output pin"/>\r
-            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="102" type="output pin"/>\r
-            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="101" type="output pin"/>\r
-            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="100" type="output pin"/>\r
-            <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="99" type="output pin"/>\r
-            <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="98" type="output pin"/>\r
-            <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="97" type="output pin"/>\r
-            <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="96" type="output pin"/>\r
-            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="95" type="output pin"/>\r
-            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="94" type="output pin"/>\r
-            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="93" type="output pin"/>\r
-            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="91" type="output pin"/>\r
-            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="90" type="output pin"/>\r
-            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="89" type="output pin"/>\r
-            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="88" type="output pin"/>\r
-            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="87" type="output pin"/>\r
-            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="86" type="output pin"/>\r
-            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="85" type="output pin"/>\r
-            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="84" type="output pin"/>\r
-            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="83" type="output pin"/>\r
-            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="82" type="output pin"/>\r
-            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="144" type="output pin"/>\r
-            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="143" type="output pin"/>\r
-            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="142" type="output pin"/>\r
-            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="141" type="output pin"/>\r
-            <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="136" type="output pin"/>\r
-            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="125" type="output pin"/>\r
-            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="114" type="output pin"/>\r
-            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="103" type="output pin"/>\r
-            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="92" type="output pin"/>\r
-            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+          <node name="po_exc_cnt" order="msb_to_lsb" state="collapse" type="output pin">\r
+            <node data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="92" type="output pin"/>\r
+            <node data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="91" type="output pin"/>\r
+            <node data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="90" type="output pin"/>\r
+            <node data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="89" type="output pin"/>\r
+            <node data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="87" type="output pin"/>\r
+            <node data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="86" type="output pin"/>\r
+            <node data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="85" type="output pin"/>\r
+            <node data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="84" type="output pin"/>\r
+            <node data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="83" type="output pin"/>\r
+            <node data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="82" type="output pin"/>\r
+            <node data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+            <node data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="80" type="output pin"/>\r
+            <node data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="79" type="output pin"/>\r
+            <node data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="78" type="output pin"/>\r
+            <node data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="76" type="output pin"/>\r
+            <node data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="75" type="output pin"/>\r
+            <node data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="74" type="output pin"/>\r
+            <node data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="73" type="output pin"/>\r
+            <node data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="72" type="output pin"/>\r
+            <node data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="71" type="output pin"/>\r
+            <node data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="70" type="output pin"/>\r
+            <node data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="69" type="output pin"/>\r
+            <node data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="68" type="output pin"/>\r
+            <node data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="67" type="output pin"/>\r
+            <node data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="65" type="output pin"/>\r
+            <node data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="64" type="output pin"/>\r
+            <node data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="63" type="output pin"/>\r
+            <node data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="62" type="output pin"/>\r
+            <node data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="61" type="output pin"/>\r
+            <node data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="60" type="output pin"/>\r
+            <node data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="59" type="output pin"/>\r
+            <node data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="58" type="output pin"/>\r
+            <node data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="57" type="output pin"/>\r
+            <node data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="56" type="output pin"/>\r
+            <node data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="54" type="output pin"/>\r
+            <node data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="53" type="output pin"/>\r
+            <node data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="52" type="output pin"/>\r
+            <node data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="51" type="output pin"/>\r
+            <node data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="50" type="output pin"/>\r
+            <node data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="49" type="output pin"/>\r
+            <node data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="48" type="output pin"/>\r
+            <node data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="47" type="output pin"/>\r
+            <node data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="46" type="output pin"/>\r
+            <node data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="45" type="output pin"/>\r
+            <node data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="43" type="output pin"/>\r
+            <node data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="42" type="output pin"/>\r
+            <node data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="41" type="output pin"/>\r
+            <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="40" type="output pin"/>\r
+            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="39" type="output pin"/>\r
+            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="38" type="output pin"/>\r
+            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+            <node data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="96" type="output pin"/>\r
+            <node data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="95" type="output pin"/>\r
+            <node data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="94" type="output pin"/>\r
+            <node data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="93" type="output pin"/>\r
+            <node data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="88" type="output pin"/>\r
+            <node data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="77" type="output pin"/>\r
+            <node data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="66" type="output pin"/>\r
+            <node data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="55" type="output pin"/>\r
+            <node data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="44" type="output pin"/>\r
+            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="33" type="output pin"/>\r
           </node>\r
-          <node data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <node data_index="201" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="289" tap_mode="probeonly" trigger_index="153" type="register"/>\r
-          <node data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="288" tap_mode="probeonly" trigger_index="256" type="register"/>\r
-          <node data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_oe_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="287" tap_mode="probeonly" trigger_index="255" type="register"/>\r
+          <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="32" type="input pin"/>\r
+          <node data_index="215" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="315" tap_mode="probeonly" trigger_index="116" type="register"/>\r
+          <node data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="314" tap_mode="probeonly" trigger_index="115" type="register"/>\r
+          <node data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_oe_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="313" tap_mode="probeonly" trigger_index="114" type="register"/>\r
           <node name="reg_addr" order="msb_to_lsb" state="collapse" type="register">\r
-            <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="6" type="register"/>\r
-            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="5" type="register"/>\r
-            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="4" type="register"/>\r
-            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="3" type="register"/>\r
-            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="2" type="register"/>\r
-            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="1" type="register"/>\r
-            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="15" type="register"/>\r
-            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="14" type="register"/>\r
-            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="13" type="register"/>\r
-            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="12" type="register"/>\r
-            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="11" type="register"/>\r
-            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="10" type="register"/>\r
-            <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="9" type="register"/>\r
-            <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="8" type="register"/>\r
-            <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="7" type="register"/>\r
-            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="0" type="register"/>\r
+            <node data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <node data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <node data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <node data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="3" type="register"/>\r
+            <node data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="2" type="register"/>\r
+            <node data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="1" type="register"/>\r
+            <node data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <node data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <node data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <node data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <node data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <node data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <node data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <node data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <node data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <node data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="0" type="register"/>\r
           </node>\r
           <node name="reg_inst" order="msb_to_lsb" type="register">\r
-            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="39" type="register"/>\r
-            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <node data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <node data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <node data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <node data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <node data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <node data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <node data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <node data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
           </node>\r
           <node name="reg_d_in" order="msb_to_lsb" type="register">\r
-            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="17" type="register"/>\r
-            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <node data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <node data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <node data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <node data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <node data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <node data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <node data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <node data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="register"/>\r
           </node>\r
           <node name="reg_d_out" order="msb_to_lsb" type="register">\r
-            <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="24" type="register"/>\r
-          </node>\r
-          <node name="reg_status" order="msb_to_lsb" type="register">\r
-            <node data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <node data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <node data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <node data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <node data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <node data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <node data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <node data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <node data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <node data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <node data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <node data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <node data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <node data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <node data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <node data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
           </node>\r
           <node name="reg_acc" order="msb_to_lsb" type="register">\r
-            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[7]" storage_index="7" tap_mode="classic" type="register"/>\r
-            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[6]" storage_index="6" tap_mode="classic" type="register"/>\r
-            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[5]" storage_index="5" tap_mode="classic" type="register"/>\r
-            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[4]" storage_index="4" tap_mode="classic" type="register"/>\r
-            <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[3]" storage_index="3" tap_mode="classic" type="register"/>\r
-            <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[2]" storage_index="2" tap_mode="classic" type="register"/>\r
-            <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[1]" storage_index="1" tap_mode="classic" type="register"/>\r
-            <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[0]" storage_index="0" tap_mode="classic" type="register"/>\r
-          </node>\r
-          <node name="reg_y" order="msb_to_lsb" type="register">\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[7]" storage_index="79" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[6]" storage_index="78" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[5]" storage_index="77" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[4]" storage_index="76" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[3]" storage_index="75" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[2]" storage_index="74" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[1]" storage_index="73" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[0]" storage_index="72" tap_mode="classic" type="register"/>\r
+            <node data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" type="register"/>\r
+            <node data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" type="register"/>\r
+            <node data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" type="register"/>\r
+            <node data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" type="register"/>\r
+            <node data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" type="register"/>\r
+            <node data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" type="register"/>\r
+            <node data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" type="register"/>\r
+            <node data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" type="register"/>\r
           </node>\r
           <node name="reg_x" order="msb_to_lsb" type="register">\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[7]" storage_index="71" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[6]" storage_index="70" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[5]" storage_index="69" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[4]" storage_index="68" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[3]" storage_index="67" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[2]" storage_index="66" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[1]" storage_index="65" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[0]" storage_index="64" tap_mode="classic" type="register"/>\r
+            <node data_index="87" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="register"/>\r
+            <node data_index="86" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="register"/>\r
+            <node data_index="85" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="register"/>\r
+            <node data_index="84" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="register"/>\r
+            <node data_index="83" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="register"/>\r
+            <node data_index="82" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="register"/>\r
+            <node data_index="81" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="register"/>\r
+            <node data_index="80" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="register"/>\r
+          </node>\r
+          <node name="reg_y" order="msb_to_lsb" type="register">\r
+            <node data_index="95" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="register"/>\r
+            <node data_index="94" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="register"/>\r
+            <node data_index="93" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="register"/>\r
+            <node data_index="92" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="register"/>\r
+            <node data_index="91" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="register"/>\r
+            <node data_index="90" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="register"/>\r
+            <node data_index="89" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="register"/>\r
+            <node data_index="88" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="register"/>\r
           </node>\r
           <node name="reg_sp" order="msb_to_lsb" type="register">\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[7]" storage_index="55" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[6]" storage_index="54" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[5]" storage_index="53" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[4]" storage_index="52" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[3]" storage_index="51" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[2]" storage_index="50" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[1]" storage_index="49" tap_mode="classic" type="register"/>\r
-            <node is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[0]" storage_index="48" tap_mode="classic" type="register"/>\r
+            <node data_index="71" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" type="register"/>\r
+            <node data_index="70" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" type="register"/>\r
+            <node data_index="69" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" type="register"/>\r
+            <node data_index="68" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" type="register"/>\r
+            <node data_index="67" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" type="register"/>\r
+            <node data_index="66" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" type="register"/>\r
+            <node data_index="65" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" type="register"/>\r
+            <node data_index="64" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" type="register"/>\r
+          </node>\r
+          <node name="reg_status" order="msb_to_lsb" type="register">\r
+            <node data_index="79" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <node data_index="78" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <node data_index="77" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <node data_index="76" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <node data_index="75" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <node data_index="74" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <node data_index="73" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <node data_index="72" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="40" type="register"/>\r
           </node>\r
           <node name="reg_ppu_ctrl" order="msb_to_lsb" type="register">\r
-            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="184" type="register"/>\r
-            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="183" type="register"/>\r
-            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="182" type="register"/>\r
-            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="181" type="register"/>\r
-            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="180" type="register"/>\r
-            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="179" type="register"/>\r
-            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="178" type="register"/>\r
-            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="177" type="register"/>\r
+            <node data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="register"/>\r
+            <node data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="register"/>\r
+            <node data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="register"/>\r
+            <node data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="register"/>\r
+            <node data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="register"/>\r
+            <node data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="register"/>\r
+            <node data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="register"/>\r
+            <node data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="register"/>\r
           </node>\r
           <node name="reg_ppu_mask" order="msb_to_lsb" type="register">\r
-            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="192" type="register"/>\r
-            <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="191" type="register"/>\r
-            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="190" type="register"/>\r
-            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="189" type="register"/>\r
-            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="188" type="register"/>\r
-            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="187" type="register"/>\r
-            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="186" type="register"/>\r
-            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="185" type="register"/>\r
+            <node data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="register"/>\r
+            <node data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="register"/>\r
+            <node data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="register"/>\r
+            <node data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="register"/>\r
+            <node data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="register"/>\r
+            <node data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="register"/>\r
+            <node data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="register"/>\r
+            <node data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="register"/>\r
           </node>\r
-          <node name="reg_ppu_status" order="msb_to_lsb" state="expand" type="register">\r
-            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="259" tap_mode="classic" trigger_index="152" type="register"/>\r
-            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="render:render_inst|reg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="258" tap_mode="classic" trigger_index="151" type="register"/>\r
-            <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="257" tap_mode="classic" trigger_index="150" type="register"/>\r
-            <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="256" tap_mode="classic" trigger_index="149" type="register"/>\r
-            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="255" tap_mode="classic" trigger_index="148" type="register"/>\r
-            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="254" tap_mode="classic" trigger_index="147" type="register"/>\r
-            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="253" tap_mode="classic" trigger_index="146" type="register"/>\r
-            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="252" tap_mode="classic" trigger_index="145" type="register"/>\r
+          <node name="reg_ppu_status" order="msb_to_lsb" state="collapse" type="register">\r
+            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="104" type="register"/>\r
+            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="103" type="register"/>\r
+            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="102" type="register"/>\r
+            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="101" type="register"/>\r
+            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="100" type="register"/>\r
+            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="99" type="register"/>\r
+            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="98" type="register"/>\r
+            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="97" type="register"/>\r
           </node>\r
           <node name="reg_nes_x" order="msb_to_lsb" type="register">\r
-            <node data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="242" tap_mode="classic" trigger_index="210" type="register"/>\r
-            <node data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="241" tap_mode="classic" trigger_index="209" type="register"/>\r
-            <node data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="240" tap_mode="classic" trigger_index="208" type="register"/>\r
-            <node data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="239" tap_mode="classic" trigger_index="207" type="register"/>\r
-            <node data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="238" tap_mode="classic" trigger_index="206" type="register"/>\r
-            <node data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="237" tap_mode="classic" trigger_index="205" type="register"/>\r
-            <node data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="236" tap_mode="classic" trigger_index="204" type="register"/>\r
-            <node data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="235" tap_mode="classic" trigger_index="203" type="register"/>\r
-            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="234" tap_mode="classic" trigger_index="202" type="register"/>\r
+            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="register"/>\r
+            <node data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="register"/>\r
+            <node data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="register"/>\r
+            <node data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="register"/>\r
+            <node data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="register"/>\r
+            <node data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="register"/>\r
+            <node data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="register"/>\r
+            <node data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="register"/>\r
+            <node data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="register"/>\r
           </node>\r
           <node name="reg_nes_y" order="msb_to_lsb" type="register">\r
-            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="251" tap_mode="classic" trigger_index="219" type="register"/>\r
-            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="250" tap_mode="classic" trigger_index="218" type="register"/>\r
-            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="249" tap_mode="classic" trigger_index="217" type="register"/>\r
-            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="248" tap_mode="classic" trigger_index="216" type="register"/>\r
-            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="247" tap_mode="classic" trigger_index="215" type="register"/>\r
-            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="246" tap_mode="classic" trigger_index="214" type="register"/>\r
-            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="245" tap_mode="classic" trigger_index="213" type="register"/>\r
-            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="244" tap_mode="classic" trigger_index="212" type="register"/>\r
-            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="243" tap_mode="classic" trigger_index="211" type="register"/>\r
+            <node data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="register"/>\r
+            <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="register"/>\r
+            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="register"/>\r
+            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="register"/>\r
+            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="register"/>\r
+            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="register"/>\r
+            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="register"/>\r
+            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="register"/>\r
+            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="register"/>\r
           </node>\r
           <node name="reg_v_addr" order="msb_to_lsb" type="register">\r
-            <node data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="268" tap_mode="classic" trigger_index="236" type="register"/>\r
-            <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="267" tap_mode="classic" trigger_index="235" type="register"/>\r
-            <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="266" tap_mode="classic" trigger_index="234" type="register"/>\r
-            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="265" tap_mode="classic" trigger_index="233" type="register"/>\r
-            <node data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="277" tap_mode="classic" trigger_index="245" type="register"/>\r
-            <node data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="276" tap_mode="classic" trigger_index="244" type="register"/>\r
-            <node data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="275" tap_mode="classic" trigger_index="243" type="register"/>\r
-            <node data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="274" tap_mode="classic" trigger_index="242" type="register"/>\r
-            <node data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="273" tap_mode="classic" trigger_index="241" type="register"/>\r
-            <node data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="272" tap_mode="classic" trigger_index="240" type="register"/>\r
-            <node data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="271" tap_mode="classic" trigger_index="239" type="register"/>\r
-            <node data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="270" tap_mode="classic" trigger_index="238" type="register"/>\r
-            <node data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="269" tap_mode="classic" trigger_index="237" type="register"/>\r
-            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="264" tap_mode="classic" trigger_index="232" type="register"/>\r
+            <node data_index="212" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="294" tap_mode="classic" trigger_index="236" type="register"/>\r
+            <node data_index="211" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="293" tap_mode="classic" trigger_index="235" type="register"/>\r
+            <node data_index="210" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="292" tap_mode="classic" trigger_index="234" type="register"/>\r
+            <node data_index="209" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="291" tap_mode="classic" trigger_index="233" type="register"/>\r
+            <node data_index="221" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="303" tap_mode="classic" trigger_index="245" type="register"/>\r
+            <node data_index="220" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="302" tap_mode="classic" trigger_index="244" type="register"/>\r
+            <node data_index="219" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="301" tap_mode="classic" trigger_index="243" type="register"/>\r
+            <node data_index="218" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="300" tap_mode="classic" trigger_index="242" type="register"/>\r
+            <node data_index="217" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="299" tap_mode="classic" trigger_index="241" type="register"/>\r
+            <node data_index="216" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="298" tap_mode="classic" trigger_index="240" type="register"/>\r
+            <node data_index="215" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="297" tap_mode="classic" trigger_index="239" type="register"/>\r
+            <node data_index="214" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="296" tap_mode="classic" trigger_index="238" type="register"/>\r
+            <node data_index="213" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="295" tap_mode="classic" trigger_index="237" type="register"/>\r
+            <node data_index="208" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="290" tap_mode="classic" trigger_index="232" type="register"/>\r
           </node>\r
           <node name="reg_v_data" order="msb_to_lsb" type="register">\r
-            <node data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="285" tap_mode="classic" trigger_index="253" type="register"/>\r
-            <node data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="284" tap_mode="classic" trigger_index="252" type="register"/>\r
-            <node data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="283" tap_mode="classic" trigger_index="251" type="register"/>\r
-            <node data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="282" tap_mode="classic" trigger_index="250" type="register"/>\r
-            <node data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="281" tap_mode="classic" trigger_index="249" type="register"/>\r
-            <node data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="280" tap_mode="classic" trigger_index="248" type="register"/>\r
-            <node data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="279" tap_mode="classic" trigger_index="247" type="register"/>\r
-            <node data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="278" tap_mode="classic" trigger_index="246" type="register"/>\r
+            <node data_index="229" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="311" tap_mode="classic" trigger_index="253" type="register"/>\r
+            <node data_index="228" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="310" tap_mode="classic" trigger_index="252" type="register"/>\r
+            <node data_index="227" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="309" tap_mode="classic" trigger_index="251" type="register"/>\r
+            <node data_index="226" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="308" tap_mode="classic" trigger_index="250" type="register"/>\r
+            <node data_index="225" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="307" tap_mode="classic" trigger_index="249" type="register"/>\r
+            <node data_index="224" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="306" tap_mode="classic" trigger_index="248" type="register"/>\r
+            <node data_index="223" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="305" tap_mode="classic" trigger_index="247" type="register"/>\r
+            <node data_index="222" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="304" tap_mode="classic" trigger_index="246" type="register"/>\r
           </node>\r
           <node name="reg_r" order="msb_to_lsb" type="register">\r
-            <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="263" tap_mode="classic" trigger_index="231" type="register"/>\r
-            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="262" tap_mode="classic" trigger_index="230" type="register"/>\r
-            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="261" tap_mode="classic" trigger_index="229" type="register"/>\r
-            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="260" tap_mode="classic" trigger_index="228" type="register"/>\r
+            <node data_index="207" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="register"/>\r
+            <node data_index="206" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="register"/>\r
+            <node data_index="205" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="register"/>\r
+            <node data_index="204" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="register"/>\r
           </node>\r
           <node name="reg_g" order="msb_to_lsb" type="register">\r
-            <node data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="200" type="register"/>\r
-            <node data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="199" type="register"/>\r
-            <node data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="198" type="register"/>\r
-            <node data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="197" type="register"/>\r
+            <node data_index="176" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="register"/>\r
+            <node data_index="175" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="register"/>\r
+            <node data_index="174" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="register"/>\r
+            <node data_index="173" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="register"/>\r
           </node>\r
           <node name="reg_b" order="msb_to_lsb" type="register">\r
-            <node data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="196" type="register"/>\r
-            <node data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="195" type="register"/>\r
-            <node data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="194" type="register"/>\r
-            <node data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="193" type="register"/>\r
+            <node data_index="172" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="register"/>\r
+            <node data_index="171" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="register"/>\r
+            <node data_index="170" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="register"/>\r
+            <node data_index="169" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="register"/>\r
+          </node>\r
+          <node data_index="161" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="register"/>\r
+          <node data_index="246" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="312" tap_mode="classic" trigger_index="254" type="register"/>\r
+          <node name="ppu:ppu_inst|reg_oam_addr" order="msb_to_lsb" type="register">\r
+            <node data_index="136" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="104" type="register"/>\r
+            <node data_index="135" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="103" type="register"/>\r
+            <node data_index="134" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="102" type="register"/>\r
+            <node data_index="133" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="101" type="register"/>\r
+            <node data_index="132" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="100" type="register"/>\r
+            <node data_index="131" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="99" type="register"/>\r
+            <node data_index="130" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="98" type="register"/>\r
+            <node data_index="129" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="97" type="register"/>\r
+          </node>\r
+          <node name="ppu:ppu_inst|reg_oam_data" order="msb_to_lsb" type="register">\r
+            <node data_index="144" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="128" type="register"/>\r
+            <node data_index="143" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="127" type="register"/>\r
+            <node data_index="142" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="126" type="register"/>\r
+            <node data_index="141" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="125" type="register"/>\r
+            <node data_index="140" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="124" type="register"/>\r
+            <node data_index="139" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="123" type="register"/>\r
+            <node data_index="138" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="122" type="register"/>\r
+            <node data_index="137" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="121" type="register"/>\r
+          </node>\r
+          <node name="apu:apu_inst|reg_spr_addr" order="msb_to_lsb" type="register">\r
+            <node data_index="7" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <node data_index="6" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <node data_index="5" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <node data_index="4" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <node data_index="3" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="register"/>\r
+            <node data_index="2" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="register"/>\r
+            <node data_index="1" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="register"/>\r
+            <node data_index="0" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="register"/>\r
+          </node>\r
+          <node name="apu:apu_inst|reg_spr_data" order="msb_to_lsb" type="register">\r
+            <node data_index="15" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <node data_index="14" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <node data_index="13" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <node data_index="12" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <node data_index="11" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <node data_index="10" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <node data_index="9" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <node data_index="8" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+          </node>\r
+          <node data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr0_eval" storage_index="264" tap_mode="classic" type="register"/>\r
+          <node data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr0_hit" storage_index="265" tap_mode="classic" trigger_index="105" type="register"/>\r
+          <node name="reg_sft_ptn_h" order="msb_to_lsb" type="register">\r
+            <node data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[15]" storage_index="238" tap_mode="classic" type="register"/>\r
+            <node data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[14]" storage_index="237" tap_mode="classic" type="register"/>\r
+            <node data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[13]" storage_index="236" tap_mode="classic" type="register"/>\r
+            <node data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[12]" storage_index="235" tap_mode="classic" type="register"/>\r
+            <node data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[11]" storage_index="234" tap_mode="classic" type="register"/>\r
+            <node data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[10]" storage_index="233" tap_mode="classic" type="register"/>\r
+            <node data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[9]" storage_index="247" tap_mode="classic" type="register"/>\r
+            <node data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[8]" storage_index="246" tap_mode="classic" type="register"/>\r
+            <node data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[7]" storage_index="245" tap_mode="classic" type="register"/>\r
+            <node data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[6]" storage_index="244" tap_mode="classic" type="register"/>\r
+            <node data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[5]" storage_index="243" tap_mode="classic" type="register"/>\r
+            <node data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[4]" storage_index="242" tap_mode="classic" type="register"/>\r
+            <node data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[3]" storage_index="241" tap_mode="classic" type="register"/>\r
+            <node data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[2]" storage_index="240" tap_mode="classic" type="register"/>\r
+            <node data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[1]" storage_index="239" tap_mode="classic" type="register"/>\r
+            <node data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[0]" storage_index="232" tap_mode="classic" type="register"/>\r
+          </node>\r
+          <node name="reg_sft_ptn_l" order="msb_to_lsb" type="register">\r
+            <node data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[15]" storage_index="254" tap_mode="classic" type="register"/>\r
+            <node data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[14]" storage_index="253" tap_mode="classic" type="register"/>\r
+            <node data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[13]" storage_index="252" tap_mode="classic" type="register"/>\r
+            <node data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[12]" storage_index="251" tap_mode="classic" type="register"/>\r
+            <node data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[11]" storage_index="250" tap_mode="classic" type="register"/>\r
+            <node data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[10]" storage_index="249" tap_mode="classic" type="register"/>\r
+            <node data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[9]" storage_index="263" tap_mode="classic" type="register"/>\r
+            <node data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[8]" storage_index="262" tap_mode="classic" type="register"/>\r
+            <node data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[7]" storage_index="261" tap_mode="classic" type="register"/>\r
+            <node data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[6]" storage_index="260" tap_mode="classic" type="register"/>\r
+            <node data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[5]" storage_index="259" tap_mode="classic" type="register"/>\r
+            <node data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[4]" storage_index="258" tap_mode="classic" type="register"/>\r
+            <node data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[3]" storage_index="257" tap_mode="classic" type="register"/>\r
+            <node data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[2]" storage_index="256" tap_mode="classic" type="register"/>\r
+            <node data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[1]" storage_index="255" tap_mode="classic" type="register"/>\r
+            <node data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[0]" storage_index="248" tap_mode="classic" type="register"/>\r
+          </node>\r
+          <node name="render:render_inst|reg_spr_x[0]" order="msb_to_lsb" type="register">\r
+            <node data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][7]" storage_index="289" tap_mode="classic" trigger_index="113" type="register"/>\r
+            <node data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][6]" storage_index="288" tap_mode="classic" trigger_index="112" type="register"/>\r
+            <node data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][5]" storage_index="287" tap_mode="classic" trigger_index="111" type="register"/>\r
+            <node data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][4]" storage_index="286" tap_mode="classic" trigger_index="110" type="register"/>\r
+            <node data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][3]" storage_index="285" tap_mode="classic" trigger_index="109" type="register"/>\r
+            <node data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][2]" storage_index="284" tap_mode="classic" trigger_index="108" type="register"/>\r
+            <node data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][1]" storage_index="283" tap_mode="classic" trigger_index="107" type="register"/>\r
+            <node data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][0]" storage_index="282" tap_mode="classic" trigger_index="106" type="register"/>\r
+          </node>\r
+          <node name="render:render_inst|reg_spr_ptn_l[0][7..0] (1)" order="msb_to_lsb" type="register">\r
+            <node data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][7]" storage_index="281" tap_mode="classic" type="register"/>\r
+            <node data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][6]" storage_index="280" tap_mode="classic" type="register"/>\r
+            <node data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][5]" storage_index="279" tap_mode="classic" type="register"/>\r
+            <node data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][4]" storage_index="278" tap_mode="classic" type="register"/>\r
+            <node data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][3]" storage_index="277" tap_mode="classic" type="register"/>\r
+            <node data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][2]" storage_index="276" tap_mode="classic" type="register"/>\r
+            <node data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][1]" storage_index="275" tap_mode="classic" type="register"/>\r
+            <node data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][0]" storage_index="274" tap_mode="classic" type="register"/>\r
+          </node>\r
+          <node name="render:render_inst|reg_spr_ptn_h[0][7..0] (1)" order="msb_to_lsb" type="register">\r
+            <node data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][7]" storage_index="273" tap_mode="classic" type="register"/>\r
+            <node data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][6]" storage_index="272" tap_mode="classic" type="register"/>\r
+            <node data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][5]" storage_index="271" tap_mode="classic" type="register"/>\r
+            <node data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][4]" storage_index="270" tap_mode="classic" type="register"/>\r
+            <node data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][3]" storage_index="269" tap_mode="classic" type="register"/>\r
+            <node data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][2]" storage_index="268" tap_mode="classic" type="register"/>\r
+            <node data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][1]" storage_index="267" tap_mode="classic" type="register"/>\r
+            <node data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][0]" storage_index="266" tap_mode="classic" type="register"/>\r
           </node>\r
-          <node data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="233" tap_mode="classic" trigger_index="201" type="register"/>\r
-          <node data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="286" tap_mode="classic" trigger_index="254" type="register"/>\r
         </unified_setup_data_view>\r
         <data_view>\r
-          <bus name="po_exc_cnt" order="msb_to_lsb" type="output pin">\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="140" type="output pin"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="139" type="output pin"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="138" type="output pin"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="137" type="output pin"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="135" type="output pin"/>\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="134" type="output pin"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="133" type="output pin"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="132" type="output pin"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="131" type="output pin"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="130" type="output pin"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="129" type="output pin"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="128" type="output pin"/>\r
-            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="127" type="output pin"/>\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="126" type="output pin"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="124" type="output pin"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="123" type="output pin"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="122" type="output pin"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="121" type="output pin"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="120" type="output pin"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="119" type="output pin"/>\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="118" type="output pin"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="117" type="output pin"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="116" type="output pin"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="115" type="output pin"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="113" type="output pin"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="112" type="output pin"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="111" type="output pin"/>\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="110" type="output pin"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="109" type="output pin"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="108" type="output pin"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="107" type="output pin"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="106" type="output pin"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="105" type="output pin"/>\r
-            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="104" type="output pin"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="102" type="output pin"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="101" type="output pin"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="100" type="output pin"/>\r
-            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="99" type="output pin"/>\r
-            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="98" type="output pin"/>\r
-            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="97" type="output pin"/>\r
-            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="96" type="output pin"/>\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="95" type="output pin"/>\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="94" type="output pin"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="93" type="output pin"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="91" type="output pin"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="90" type="output pin"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="89" type="output pin"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="88" type="output pin"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="87" type="output pin"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="86" type="output pin"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="85" type="output pin"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="84" type="output pin"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="83" type="output pin"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="82" type="output pin"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="144" type="output pin"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="143" type="output pin"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="142" type="output pin"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="141" type="output pin"/>\r
-            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="136" type="output pin"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="125" type="output pin"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="114" type="output pin"/>\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="103" type="output pin"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="92" type="output pin"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+          <bus name="po_exc_cnt" order="msb_to_lsb" state="collapse" type="output pin">\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="92" type="output pin"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="91" type="output pin"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="90" type="output pin"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="89" type="output pin"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="87" type="output pin"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="86" type="output pin"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="85" type="output pin"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="84" type="output pin"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="83" type="output pin"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="82" type="output pin"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="80" type="output pin"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="79" type="output pin"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="78" type="output pin"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="76" type="output pin"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="75" type="output pin"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="74" type="output pin"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="73" type="output pin"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="72" type="output pin"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="71" type="output pin"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="70" type="output pin"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="69" type="output pin"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="68" type="output pin"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="67" type="output pin"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="65" type="output pin"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="64" type="output pin"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="63" type="output pin"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="62" type="output pin"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="61" type="output pin"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="60" type="output pin"/>\r
+            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="59" type="output pin"/>\r
+            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="58" type="output pin"/>\r
+            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="57" type="output pin"/>\r
+            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="56" type="output pin"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="54" type="output pin"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="53" type="output pin"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="52" type="output pin"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="51" type="output pin"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="50" type="output pin"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="49" type="output pin"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="48" type="output pin"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="47" type="output pin"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="46" type="output pin"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="45" type="output pin"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="43" type="output pin"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="42" type="output pin"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="41" type="output pin"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="40" type="output pin"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="39" type="output pin"/>\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="38" type="output pin"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="96" type="output pin"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="95" type="output pin"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="94" type="output pin"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="93" type="output pin"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="88" type="output pin"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="77" type="output pin"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="66" type="output pin"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="55" type="output pin"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="44" type="output pin"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="33" type="output pin"/>\r
           </bus>\r
-          <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <net data_index="201" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="289" tap_mode="probeonly" trigger_index="153" type="register"/>\r
-          <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="288" tap_mode="probeonly" trigger_index="256" type="register"/>\r
-          <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_oe_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="287" tap_mode="probeonly" trigger_index="255" type="register"/>\r
+          <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="32" type="input pin"/>\r
+          <net data_index="215" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="315" tap_mode="probeonly" trigger_index="116" type="register"/>\r
+          <net data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="314" tap_mode="probeonly" trigger_index="115" type="register"/>\r
+          <net data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_oe_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="313" tap_mode="probeonly" trigger_index="114" type="register"/>\r
           <bus name="reg_addr" order="msb_to_lsb" state="collapse" type="register">\r
-            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="6" type="register"/>\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="5" type="register"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="4" type="register"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="3" type="register"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="2" type="register"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="1" type="register"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="15" type="register"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="14" type="register"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="13" type="register"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="12" type="register"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="11" type="register"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="10" type="register"/>\r
-            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="9" type="register"/>\r
-            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="8" type="register"/>\r
-            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="7" type="register"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="0" type="register"/>\r
+            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="3" type="register"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="2" type="register"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="1" type="register"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="0" type="register"/>\r
           </bus>\r
           <bus name="reg_inst" order="msb_to_lsb" type="register">\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="39" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
           </bus>\r
           <bus name="reg_d_in" order="msb_to_lsb" type="register">\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="17" type="register"/>\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="register"/>\r
           </bus>\r
           <bus name="reg_d_out" order="msb_to_lsb" type="register">\r
-            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="24" type="register"/>\r
-          </bus>\r
-          <bus name="reg_status" order="msb_to_lsb" type="register">\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
           </bus>\r
           <bus name="reg_acc" order="msb_to_lsb" type="register">\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[7]" storage_index="7" tap_mode="classic" type="register"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[6]" storage_index="6" tap_mode="classic" type="register"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[5]" storage_index="5" tap_mode="classic" type="register"/>\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[4]" storage_index="4" tap_mode="classic" type="register"/>\r
-            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[3]" storage_index="3" tap_mode="classic" type="register"/>\r
-            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[2]" storage_index="2" tap_mode="classic" type="register"/>\r
-            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[1]" storage_index="1" tap_mode="classic" type="register"/>\r
-            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[0]" storage_index="0" tap_mode="classic" type="register"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" type="register"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" type="register"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" type="register"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" type="register"/>\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" type="register"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" type="register"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" type="register"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" type="register"/>\r
           </bus>\r
           <bus name="reg_ppu_ctrl" order="msb_to_lsb" type="register">\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="184" type="register"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="183" type="register"/>\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="182" type="register"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="181" type="register"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="180" type="register"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="179" type="register"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="178" type="register"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="177" type="register"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="register"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="register"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="register"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="register"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="register"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="register"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="register"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="register"/>\r
           </bus>\r
           <bus name="reg_ppu_mask" order="msb_to_lsb" type="register">\r
-            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="192" type="register"/>\r
-            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="191" type="register"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="190" type="register"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="189" type="register"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="188" type="register"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="187" type="register"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="186" type="register"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="185" type="register"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="register"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="register"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="register"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="register"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="register"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="register"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="register"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="register"/>\r
           </bus>\r
-          <bus name="reg_ppu_status" order="msb_to_lsb" state="expand" type="register">\r
-            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="259" tap_mode="classic" trigger_index="152" type="register"/>\r
-            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="render:render_inst|reg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="258" tap_mode="classic" trigger_index="151" type="register"/>\r
-            <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="257" tap_mode="classic" trigger_index="150" type="register"/>\r
-            <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="256" tap_mode="classic" trigger_index="149" type="register"/>\r
-            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="255" tap_mode="classic" trigger_index="148" type="register"/>\r
-            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="254" tap_mode="classic" trigger_index="147" type="register"/>\r
-            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="253" tap_mode="classic" trigger_index="146" type="register"/>\r
-            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="252" tap_mode="classic" trigger_index="145" type="register"/>\r
+          <bus name="reg_ppu_status" order="msb_to_lsb" state="collapse" type="register">\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="104" type="register"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="103" type="register"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="102" type="register"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="101" type="register"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="100" type="register"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="99" type="register"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="98" type="register"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="97" type="register"/>\r
           </bus>\r
           <bus name="reg_nes_x" order="msb_to_lsb" type="register">\r
-            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="242" tap_mode="classic" trigger_index="210" type="register"/>\r
-            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="241" tap_mode="classic" trigger_index="209" type="register"/>\r
-            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="240" tap_mode="classic" trigger_index="208" type="register"/>\r
-            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="239" tap_mode="classic" trigger_index="207" type="register"/>\r
-            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="238" tap_mode="classic" trigger_index="206" type="register"/>\r
-            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="237" tap_mode="classic" trigger_index="205" type="register"/>\r
-            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="236" tap_mode="classic" trigger_index="204" type="register"/>\r
-            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="235" tap_mode="classic" trigger_index="203" type="register"/>\r
-            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="234" tap_mode="classic" trigger_index="202" type="register"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="register"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="register"/>\r
+            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="register"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="register"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="register"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="register"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="register"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="register"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="register"/>\r
           </bus>\r
           <bus name="reg_nes_y" order="msb_to_lsb" type="register">\r
-            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="251" tap_mode="classic" trigger_index="219" type="register"/>\r
-            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="250" tap_mode="classic" trigger_index="218" type="register"/>\r
-            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="249" tap_mode="classic" trigger_index="217" type="register"/>\r
-            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="248" tap_mode="classic" trigger_index="216" type="register"/>\r
-            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="247" tap_mode="classic" trigger_index="215" type="register"/>\r
-            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="246" tap_mode="classic" trigger_index="214" type="register"/>\r
-            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="245" tap_mode="classic" trigger_index="213" type="register"/>\r
-            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="244" tap_mode="classic" trigger_index="212" type="register"/>\r
-            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="243" tap_mode="classic" trigger_index="211" type="register"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="register"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="register"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="register"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="register"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="register"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="register"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="register"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="register"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="register"/>\r
           </bus>\r
-          <bus name="reg_v_addr" order="msb_to_lsb" type="register">\r
-            <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="268" tap_mode="classic" trigger_index="236" type="register"/>\r
-            <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="267" tap_mode="classic" trigger_index="235" type="register"/>\r
-            <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="266" tap_mode="classic" trigger_index="234" type="register"/>\r
-            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="265" tap_mode="classic" trigger_index="233" type="register"/>\r
-            <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="277" tap_mode="classic" trigger_index="245" type="register"/>\r
-            <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="276" tap_mode="classic" trigger_index="244" type="register"/>\r
-            <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="275" tap_mode="classic" trigger_index="243" type="register"/>\r
-            <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="274" tap_mode="classic" trigger_index="242" type="register"/>\r
-            <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="273" tap_mode="classic" trigger_index="241" type="register"/>\r
-            <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="272" tap_mode="classic" trigger_index="240" type="register"/>\r
-            <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="271" tap_mode="classic" trigger_index="239" type="register"/>\r
-            <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="270" tap_mode="classic" trigger_index="238" type="register"/>\r
-            <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="269" tap_mode="classic" trigger_index="237" type="register"/>\r
-            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="264" tap_mode="classic" trigger_index="232" type="register"/>\r
+          <bus data_index="246" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="312" tap_mode="classic" trigger_index="254" type="register"/>\r
+          <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr0_eval" storage_index="264" tap_mode="classic" type="register"/>\r
+          <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr0_hit" storage_index="265" tap_mode="classic" trigger_index="105" type="register"/>\r
+          <bus name="reg_sft_ptn_h" order="msb_to_lsb" type="register">\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[15]" storage_index="238" tap_mode="classic" type="register"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[14]" storage_index="237" tap_mode="classic" type="register"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[13]" storage_index="236" tap_mode="classic" type="register"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[12]" storage_index="235" tap_mode="classic" type="register"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[11]" storage_index="234" tap_mode="classic" type="register"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[10]" storage_index="233" tap_mode="classic" type="register"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[9]" storage_index="247" tap_mode="classic" type="register"/>\r
+            <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[8]" storage_index="246" tap_mode="classic" type="register"/>\r
+            <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[7]" storage_index="245" tap_mode="classic" type="register"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[6]" storage_index="244" tap_mode="classic" type="register"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[5]" storage_index="243" tap_mode="classic" type="register"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[4]" storage_index="242" tap_mode="classic" type="register"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[3]" storage_index="241" tap_mode="classic" type="register"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[2]" storage_index="240" tap_mode="classic" type="register"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[1]" storage_index="239" tap_mode="classic" type="register"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[0]" storage_index="232" tap_mode="classic" type="register"/>\r
           </bus>\r
-          <bus name="reg_v_data" order="msb_to_lsb" type="register">\r
-            <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="285" tap_mode="classic" trigger_index="253" type="register"/>\r
-            <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="284" tap_mode="classic" trigger_index="252" type="register"/>\r
-            <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="283" tap_mode="classic" trigger_index="251" type="register"/>\r
-            <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="282" tap_mode="classic" trigger_index="250" type="register"/>\r
-            <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="281" tap_mode="classic" trigger_index="249" type="register"/>\r
-            <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="280" tap_mode="classic" trigger_index="248" type="register"/>\r
-            <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="279" tap_mode="classic" trigger_index="247" type="register"/>\r
-            <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="278" tap_mode="classic" trigger_index="246" type="register"/>\r
+          <bus name="reg_sft_ptn_l" order="msb_to_lsb" type="register">\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[15]" storage_index="254" tap_mode="classic" type="register"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[14]" storage_index="253" tap_mode="classic" type="register"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[13]" storage_index="252" tap_mode="classic" type="register"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[12]" storage_index="251" tap_mode="classic" type="register"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[11]" storage_index="250" tap_mode="classic" type="register"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[10]" storage_index="249" tap_mode="classic" type="register"/>\r
+            <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[9]" storage_index="263" tap_mode="classic" type="register"/>\r
+            <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[8]" storage_index="262" tap_mode="classic" type="register"/>\r
+            <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[7]" storage_index="261" tap_mode="classic" type="register"/>\r
+            <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[6]" storage_index="260" tap_mode="classic" type="register"/>\r
+            <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[5]" storage_index="259" tap_mode="classic" type="register"/>\r
+            <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[4]" storage_index="258" tap_mode="classic" type="register"/>\r
+            <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[3]" storage_index="257" tap_mode="classic" type="register"/>\r
+            <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[2]" storage_index="256" tap_mode="classic" type="register"/>\r
+            <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[1]" storage_index="255" tap_mode="classic" type="register"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[0]" storage_index="248" tap_mode="classic" type="register"/>\r
           </bus>\r
-          <bus name="reg_r" order="msb_to_lsb" type="register">\r
-            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="263" tap_mode="classic" trigger_index="231" type="register"/>\r
-            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="262" tap_mode="classic" trigger_index="230" type="register"/>\r
-            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="261" tap_mode="classic" trigger_index="229" type="register"/>\r
-            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="260" tap_mode="classic" trigger_index="228" type="register"/>\r
+          <bus name="render:render_inst|reg_spr_x[0]" order="msb_to_lsb" type="register">\r
+            <net data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][7]" storage_index="289" tap_mode="classic" trigger_index="113" type="register"/>\r
+            <net data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][6]" storage_index="288" tap_mode="classic" trigger_index="112" type="register"/>\r
+            <net data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][5]" storage_index="287" tap_mode="classic" trigger_index="111" type="register"/>\r
+            <net data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][4]" storage_index="286" tap_mode="classic" trigger_index="110" type="register"/>\r
+            <net data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][3]" storage_index="285" tap_mode="classic" trigger_index="109" type="register"/>\r
+            <net data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][2]" storage_index="284" tap_mode="classic" trigger_index="108" type="register"/>\r
+            <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][1]" storage_index="283" tap_mode="classic" trigger_index="107" type="register"/>\r
+            <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][0]" storage_index="282" tap_mode="classic" trigger_index="106" type="register"/>\r
           </bus>\r
-          <bus name="reg_g" order="msb_to_lsb" type="register">\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="200" type="register"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="199" type="register"/>\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="198" type="register"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="197" type="register"/>\r
+          <bus name="render:render_inst|reg_spr_ptn_l[0][7..0] (1)" order="msb_to_lsb" type="register">\r
+            <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][7]" storage_index="281" tap_mode="classic" type="register"/>\r
+            <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][6]" storage_index="280" tap_mode="classic" type="register"/>\r
+            <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][5]" storage_index="279" tap_mode="classic" type="register"/>\r
+            <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][4]" storage_index="278" tap_mode="classic" type="register"/>\r
+            <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][3]" storage_index="277" tap_mode="classic" type="register"/>\r
+            <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][2]" storage_index="276" tap_mode="classic" type="register"/>\r
+            <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][1]" storage_index="275" tap_mode="classic" type="register"/>\r
+            <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][0]" storage_index="274" tap_mode="classic" type="register"/>\r
           </bus>\r
-          <bus name="reg_b" order="msb_to_lsb" type="register">\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="196" type="register"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="195" type="register"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="194" type="register"/>\r
-            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="193" type="register"/>\r
+          <bus name="render:render_inst|reg_spr_ptn_h[0][7..0] (1)" order="msb_to_lsb" type="register">\r
+            <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][7]" storage_index="273" tap_mode="classic" type="register"/>\r
+            <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][6]" storage_index="272" tap_mode="classic" type="register"/>\r
+            <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][5]" storage_index="271" tap_mode="classic" type="register"/>\r
+            <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][4]" storage_index="270" tap_mode="classic" type="register"/>\r
+            <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][3]" storage_index="269" tap_mode="classic" type="register"/>\r
+            <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][2]" storage_index="268" tap_mode="classic" type="register"/>\r
+            <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][1]" storage_index="267" tap_mode="classic" type="register"/>\r
+            <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][0]" storage_index="266" tap_mode="classic" type="register"/>\r
           </bus>\r
-          <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="233" tap_mode="classic" trigger_index="201" type="register"/>\r
-          <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="286" tap_mode="classic" trigger_index="254" type="register"/>\r
         </data_view>\r
         <setup_view>\r
-          <bus name="po_dbg_cnt" order="msb_to_lsb" type="output pin">\r
-            <net data_index="108" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="76" type="output pin"/>\r
-            <net data_index="107" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="75" type="output pin"/>\r
-            <net data_index="106" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="74" type="output pin"/>\r
-            <net data_index="105" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="73" type="output pin"/>\r
-            <net data_index="103" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="71" type="output pin"/>\r
-            <net data_index="102" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="70" type="output pin"/>\r
-            <net data_index="101" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="69" type="output pin"/>\r
-            <net data_index="100" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="68" type="output pin"/>\r
-            <net data_index="99" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="67" type="output pin"/>\r
-            <net data_index="98" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="66" type="output pin"/>\r
-            <net data_index="97" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="65" type="output pin"/>\r
-            <net data_index="96" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="64" type="output pin"/>\r
-            <net data_index="95" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="63" type="output pin"/>\r
-            <net data_index="94" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="62" type="output pin"/>\r
-            <net data_index="92" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="60" type="output pin"/>\r
-            <net data_index="91" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="59" type="output pin"/>\r
-            <net data_index="90" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="58" type="output pin"/>\r
-            <net data_index="89" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="57" type="output pin"/>\r
-            <net data_index="88" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="56" type="output pin"/>\r
-            <net data_index="87" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="55" type="output pin"/>\r
-            <net data_index="86" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="54" type="output pin"/>\r
-            <net data_index="85" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="53" type="output pin"/>\r
-            <net data_index="84" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="52" type="output pin"/>\r
-            <net data_index="83" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="51" type="output pin"/>\r
-            <net data_index="81" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="49" type="output pin"/>\r
-            <net data_index="80" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="48" type="output pin"/>\r
-            <net data_index="79" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="47" type="output pin"/>\r
-            <net data_index="78" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="46" type="output pin"/>\r
-            <net data_index="77" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="45" type="output pin"/>\r
-            <net data_index="76" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="44" type="output pin"/>\r
-            <net data_index="75" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="43" type="output pin"/>\r
-            <net data_index="74" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="42" type="output pin"/>\r
-            <net data_index="73" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="41" type="output pin"/>\r
-            <net data_index="72" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="40" type="output pin"/>\r
-            <net data_index="70" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="38" type="output pin"/>\r
-            <net data_index="69" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="37" type="output pin"/>\r
-            <net data_index="68" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="36" type="output pin"/>\r
-            <net data_index="67" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="35" type="output pin"/>\r
-            <net data_index="66" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="34" type="output pin"/>\r
-            <net data_index="65" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="33" type="output pin"/>\r
-            <net data_index="64" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="32" type="output pin"/>\r
-            <net data_index="63" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" trigger_index="31" type="output pin"/>\r
-            <net data_index="62" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" trigger_index="30" type="output pin"/>\r
-            <net data_index="61" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" trigger_index="29" type="output pin"/>\r
-            <net data_index="59" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" trigger_index="27" type="output pin"/>\r
-            <net data_index="58" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" trigger_index="26" type="output pin"/>\r
-            <net data_index="57" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" trigger_index="25" type="output pin"/>\r
-            <net data_index="56" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" trigger_index="24" type="output pin"/>\r
-            <net data_index="55" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" trigger_index="23" type="output pin"/>\r
-            <net data_index="54" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" trigger_index="22" type="output pin"/>\r
-            <net data_index="53" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" trigger_index="21" type="output pin"/>\r
-            <net data_index="52" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" trigger_index="20" type="output pin"/>\r
-            <net data_index="51" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" trigger_index="19" type="output pin"/>\r
-            <net data_index="50" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" trigger_index="18" type="output pin"/>\r
-            <net data_index="112" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="80" type="output pin"/>\r
-            <net data_index="111" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="79" type="output pin"/>\r
-            <net data_index="110" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="78" type="output pin"/>\r
-            <net data_index="109" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="77" type="output pin"/>\r
-            <net data_index="104" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="72" type="output pin"/>\r
-            <net data_index="93" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="61" type="output pin"/>\r
-            <net data_index="82" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="50" type="output pin"/>\r
-            <net data_index="71" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="39" type="output pin"/>\r
-            <net data_index="60" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" trigger_index="28" type="output pin"/>\r
-            <net data_index="49" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_dbg_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" trigger_index="17" type="output pin"/>\r
-          </bus>\r
-          <bus name="po_exc_cnt" order="msb_to_lsb" type="output pin">\r
-            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="140" type="output pin"/>\r
-            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="139" type="output pin"/>\r
-            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="138" type="output pin"/>\r
-            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="137" type="output pin"/>\r
-            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="135" type="output pin"/>\r
-            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="134" type="output pin"/>\r
-            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="133" type="output pin"/>\r
-            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="132" type="output pin"/>\r
-            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="131" type="output pin"/>\r
-            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="130" type="output pin"/>\r
-            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="129" type="output pin"/>\r
-            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="128" type="output pin"/>\r
-            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="127" type="output pin"/>\r
-            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="126" type="output pin"/>\r
-            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="124" type="output pin"/>\r
-            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="123" type="output pin"/>\r
-            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="122" type="output pin"/>\r
-            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="121" type="output pin"/>\r
-            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="120" type="output pin"/>\r
-            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="119" type="output pin"/>\r
-            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="118" type="output pin"/>\r
-            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="117" type="output pin"/>\r
-            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="116" type="output pin"/>\r
-            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="115" type="output pin"/>\r
-            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="113" type="output pin"/>\r
-            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="112" type="output pin"/>\r
-            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="111" type="output pin"/>\r
-            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="110" type="output pin"/>\r
-            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="109" type="output pin"/>\r
-            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="108" type="output pin"/>\r
-            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="107" type="output pin"/>\r
-            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="106" type="output pin"/>\r
-            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="105" type="output pin"/>\r
-            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="104" type="output pin"/>\r
-            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="102" type="output pin"/>\r
-            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="101" type="output pin"/>\r
-            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="100" type="output pin"/>\r
-            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="99" type="output pin"/>\r
-            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="98" type="output pin"/>\r
-            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="97" type="output pin"/>\r
-            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="96" type="output pin"/>\r
-            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="95" type="output pin"/>\r
-            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="94" type="output pin"/>\r
-            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="93" type="output pin"/>\r
-            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="91" type="output pin"/>\r
-            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="90" type="output pin"/>\r
-            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="89" type="output pin"/>\r
-            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="88" type="output pin"/>\r
-            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="87" type="output pin"/>\r
-            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="86" type="output pin"/>\r
-            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="85" type="output pin"/>\r
-            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="84" type="output pin"/>\r
-            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="83" type="output pin"/>\r
-            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="82" type="output pin"/>\r
-            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="144" type="output pin"/>\r
-            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="143" type="output pin"/>\r
-            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="142" type="output pin"/>\r
-            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="141" type="output pin"/>\r
-            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="136" type="output pin"/>\r
-            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="125" type="output pin"/>\r
-            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="114" type="output pin"/>\r
-            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="103" type="output pin"/>\r
-            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="92" type="output pin"/>\r
-            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="po_exc_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+          <bus name="po_exc_cnt" order="msb_to_lsb" state="collapse" type="output pin">\r
+            <net data_index="108" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[63]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="156" tap_mode="classic" trigger_index="92" type="output pin"/>\r
+            <net data_index="107" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[62]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="155" tap_mode="classic" trigger_index="91" type="output pin"/>\r
+            <net data_index="106" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[61]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="154" tap_mode="classic" trigger_index="90" type="output pin"/>\r
+            <net data_index="105" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[60]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="153" tap_mode="classic" trigger_index="89" type="output pin"/>\r
+            <net data_index="103" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[59]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="151" tap_mode="classic" trigger_index="87" type="output pin"/>\r
+            <net data_index="102" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[58]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="150" tap_mode="classic" trigger_index="86" type="output pin"/>\r
+            <net data_index="101" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[57]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="149" tap_mode="classic" trigger_index="85" type="output pin"/>\r
+            <net data_index="100" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[56]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="148" tap_mode="classic" trigger_index="84" type="output pin"/>\r
+            <net data_index="99" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[55]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="147" tap_mode="classic" trigger_index="83" type="output pin"/>\r
+            <net data_index="98" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[54]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="146" tap_mode="classic" trigger_index="82" type="output pin"/>\r
+            <net data_index="97" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[53]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="145" tap_mode="classic" trigger_index="81" type="output pin"/>\r
+            <net data_index="96" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[52]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="144" tap_mode="classic" trigger_index="80" type="output pin"/>\r
+            <net data_index="95" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[51]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="143" tap_mode="classic" trigger_index="79" type="output pin"/>\r
+            <net data_index="94" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[50]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="142" tap_mode="classic" trigger_index="78" type="output pin"/>\r
+            <net data_index="92" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[49]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="140" tap_mode="classic" trigger_index="76" type="output pin"/>\r
+            <net data_index="91" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[48]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="139" tap_mode="classic" trigger_index="75" type="output pin"/>\r
+            <net data_index="90" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[47]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="138" tap_mode="classic" trigger_index="74" type="output pin"/>\r
+            <net data_index="89" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[46]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="137" tap_mode="classic" trigger_index="73" type="output pin"/>\r
+            <net data_index="88" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[45]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="136" tap_mode="classic" trigger_index="72" type="output pin"/>\r
+            <net data_index="87" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[44]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="135" tap_mode="classic" trigger_index="71" type="output pin"/>\r
+            <net data_index="86" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[43]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="134" tap_mode="classic" trigger_index="70" type="output pin"/>\r
+            <net data_index="85" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[42]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="133" tap_mode="classic" trigger_index="69" type="output pin"/>\r
+            <net data_index="84" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[41]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="132" tap_mode="classic" trigger_index="68" type="output pin"/>\r
+            <net data_index="83" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[40]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="131" tap_mode="classic" trigger_index="67" type="output pin"/>\r
+            <net data_index="81" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[39]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="129" tap_mode="classic" trigger_index="65" type="output pin"/>\r
+            <net data_index="80" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[38]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="128" tap_mode="classic" trigger_index="64" type="output pin"/>\r
+            <net data_index="79" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[37]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="127" tap_mode="classic" trigger_index="63" type="output pin"/>\r
+            <net data_index="78" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[36]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="126" tap_mode="classic" trigger_index="62" type="output pin"/>\r
+            <net data_index="77" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[35]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="125" tap_mode="classic" trigger_index="61" type="output pin"/>\r
+            <net data_index="76" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[34]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="124" tap_mode="classic" trigger_index="60" type="output pin"/>\r
+            <net data_index="75" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[33]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="123" tap_mode="classic" trigger_index="59" type="output pin"/>\r
+            <net data_index="74" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[32]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="122" tap_mode="classic" trigger_index="58" type="output pin"/>\r
+            <net data_index="73" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[31]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="121" tap_mode="classic" trigger_index="57" type="output pin"/>\r
+            <net data_index="72" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[30]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="120" tap_mode="classic" trigger_index="56" type="output pin"/>\r
+            <net data_index="70" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[29]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="118" tap_mode="classic" trigger_index="54" type="output pin"/>\r
+            <net data_index="69" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[28]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="117" tap_mode="classic" trigger_index="53" type="output pin"/>\r
+            <net data_index="68" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[27]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="116" tap_mode="classic" trigger_index="52" type="output pin"/>\r
+            <net data_index="67" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[26]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="115" tap_mode="classic" trigger_index="51" type="output pin"/>\r
+            <net data_index="66" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[25]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="114" tap_mode="classic" trigger_index="50" type="output pin"/>\r
+            <net data_index="65" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[24]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="113" tap_mode="classic" trigger_index="49" type="output pin"/>\r
+            <net data_index="64" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[23]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="112" tap_mode="classic" trigger_index="48" type="output pin"/>\r
+            <net data_index="63" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[22]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="111" tap_mode="classic" trigger_index="47" type="output pin"/>\r
+            <net data_index="62" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[21]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="110" tap_mode="classic" trigger_index="46" type="output pin"/>\r
+            <net data_index="61" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[20]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="109" tap_mode="classic" trigger_index="45" type="output pin"/>\r
+            <net data_index="59" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[19]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="107" tap_mode="classic" trigger_index="43" type="output pin"/>\r
+            <net data_index="58" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[18]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="106" tap_mode="classic" trigger_index="42" type="output pin"/>\r
+            <net data_index="57" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[17]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="105" tap_mode="classic" trigger_index="41" type="output pin"/>\r
+            <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[16]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="104" tap_mode="classic" trigger_index="40" type="output pin"/>\r
+            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="103" tap_mode="classic" trigger_index="39" type="output pin"/>\r
+            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="102" tap_mode="classic" trigger_index="38" type="output pin"/>\r
+            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="101" tap_mode="classic" trigger_index="37" type="output pin"/>\r
+            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="100" tap_mode="classic" trigger_index="36" type="output pin"/>\r
+            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="99" tap_mode="classic" trigger_index="35" type="output pin"/>\r
+            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="98" tap_mode="classic" trigger_index="34" type="output pin"/>\r
+            <net data_index="112" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="160" tap_mode="classic" trigger_index="96" type="output pin"/>\r
+            <net data_index="111" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="159" tap_mode="classic" trigger_index="95" type="output pin"/>\r
+            <net data_index="110" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="158" tap_mode="classic" trigger_index="94" type="output pin"/>\r
+            <net data_index="109" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="157" tap_mode="classic" trigger_index="93" type="output pin"/>\r
+            <net data_index="104" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="152" tap_mode="classic" trigger_index="88" type="output pin"/>\r
+            <net data_index="93" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="141" tap_mode="classic" trigger_index="77" type="output pin"/>\r
+            <net data_index="82" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="130" tap_mode="classic" trigger_index="66" type="output pin"/>\r
+            <net data_index="71" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="119" tap_mode="classic" trigger_index="55" type="output pin"/>\r
+            <net data_index="60" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="low" name="po_exc_cnt[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="108" tap_mode="classic" trigger_index="44" type="output pin"/>\r
+            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="high" name="po_exc_cnt[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="97" tap_mode="classic" trigger_index="33" type="output pin"/>\r
           </bus>\r
-          <net data_index="56" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" trigger_index="16" type="input pin"/>\r
-          <net data_index="201" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="289" tap_mode="probeonly" trigger_index="153" type="register"/>\r
-          <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="288" tap_mode="probeonly" trigger_index="256" type="register"/>\r
-          <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_oe_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="287" tap_mode="probeonly" trigger_index="255" type="register"/>\r
+          <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="pi_rst_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="96" tap_mode="classic" trigger_index="32" type="input pin"/>\r
+          <net data_index="215" is_data_input="true" is_node_valid="true" is_signal_inverted="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="ppu:ppu_inst|reg_vblank_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="315" tap_mode="probeonly" trigger_index="116" type="register"/>\r
+          <net data_index="214" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_we_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="314" tap_mode="probeonly" trigger_index="115" type="register"/>\r
+          <net data_index="213" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_oe_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="313" tap_mode="probeonly" trigger_index="114" type="register"/>\r
           <bus name="reg_addr" order="msb_to_lsb" state="collapse" type="register">\r
-            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="6" type="register"/>\r
-            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="5" type="register"/>\r
-            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="4" type="register"/>\r
-            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="3" type="register"/>\r
-            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="2" type="register"/>\r
-            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="1" type="register"/>\r
-            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" trigger_index="15" type="register"/>\r
-            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" trigger_index="14" type="register"/>\r
-            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" trigger_index="13" type="register"/>\r
-            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" trigger_index="12" type="register"/>\r
-            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" trigger_index="11" type="register"/>\r
-            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" trigger_index="10" type="register"/>\r
-            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" trigger_index="9" type="register"/>\r
-            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" trigger_index="8" type="register"/>\r
-            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="7" type="register"/>\r
-            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="0" type="register"/>\r
+            <net data_index="14" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[15]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <net data_index="13" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[14]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <net data_index="12" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <net data_index="11" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="3" type="register"/>\r
+            <net data_index="10" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="2" type="register"/>\r
+            <net data_index="9" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="1" type="register"/>\r
+            <net data_index="23" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <net data_index="22" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <net data_index="21" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <net data_index="20" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <net data_index="19" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <net data_index="18" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <net data_index="17" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <net data_index="16" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="8" type="register"/>\r
+            <net data_index="15" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <net data_index="8" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="0" type="register"/>\r
           </bus>\r
           <bus name="reg_inst" order="msb_to_lsb" type="register">\r
-            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="39" type="register"/>\r
-            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="38" type="register"/>\r
-            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="37" type="register"/>\r
-            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="36" type="register"/>\r
-            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="35" type="register"/>\r
-            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="34" type="register"/>\r
-            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="33" type="register"/>\r
-            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="32" type="register"/>\r
+            <net data_index="47" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="39" type="register"/>\r
+            <net data_index="46" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="38" type="register"/>\r
+            <net data_index="45" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="37" type="register"/>\r
+            <net data_index="44" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="36" type="register"/>\r
+            <net data_index="43" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="35" type="register"/>\r
+            <net data_index="42" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="34" type="register"/>\r
+            <net data_index="41" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="33" type="register"/>\r
+            <net data_index="40" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_inst[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="32" type="register"/>\r
           </bus>\r
           <bus name="reg_d_in" order="msb_to_lsb" type="register">\r
-            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="31" tap_mode="classic" trigger_index="23" type="register"/>\r
-            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="30" tap_mode="classic" trigger_index="22" type="register"/>\r
-            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="29" tap_mode="classic" trigger_index="21" type="register"/>\r
-            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="28" tap_mode="classic" trigger_index="20" type="register"/>\r
-            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="27" tap_mode="classic" trigger_index="19" type="register"/>\r
-            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="26" tap_mode="classic" trigger_index="18" type="register"/>\r
-            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="25" tap_mode="classic" trigger_index="17" type="register"/>\r
-            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="24" tap_mode="classic" trigger_index="16" type="register"/>\r
+            <net data_index="31" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="47" tap_mode="classic" trigger_index="23" type="register"/>\r
+            <net data_index="30" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="46" tap_mode="classic" trigger_index="22" type="register"/>\r
+            <net data_index="29" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="45" tap_mode="classic" trigger_index="21" type="register"/>\r
+            <net data_index="28" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="44" tap_mode="classic" trigger_index="20" type="register"/>\r
+            <net data_index="27" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="43" tap_mode="classic" trigger_index="19" type="register"/>\r
+            <net data_index="26" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="42" tap_mode="classic" trigger_index="18" type="register"/>\r
+            <net data_index="25" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="41" tap_mode="classic" trigger_index="17" type="register"/>\r
+            <net data_index="24" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_in[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="40" tap_mode="classic" trigger_index="16" type="register"/>\r
           </bus>\r
           <bus name="reg_d_out" order="msb_to_lsb" type="register">\r
-            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="39" tap_mode="classic" trigger_index="31" type="register"/>\r
-            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="38" tap_mode="classic" trigger_index="30" type="register"/>\r
-            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="37" tap_mode="classic" trigger_index="29" type="register"/>\r
-            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="36" tap_mode="classic" trigger_index="28" type="register"/>\r
-            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="35" tap_mode="classic" trigger_index="27" type="register"/>\r
-            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="34" tap_mode="classic" trigger_index="26" type="register"/>\r
-            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="33" tap_mode="classic" trigger_index="25" type="register"/>\r
-            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="32" tap_mode="classic" trigger_index="24" type="register"/>\r
-          </bus>\r
-          <bus name="reg_status" order="msb_to_lsb" type="register">\r
-            <net data_index="55" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="63" tap_mode="classic" trigger_index="47" type="register"/>\r
-            <net data_index="54" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="62" tap_mode="classic" trigger_index="46" type="register"/>\r
-            <net data_index="53" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="61" tap_mode="classic" trigger_index="45" type="register"/>\r
-            <net data_index="52" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="60" tap_mode="classic" trigger_index="44" type="register"/>\r
-            <net data_index="51" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="59" tap_mode="classic" trigger_index="43" type="register"/>\r
-            <net data_index="50" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="58" tap_mode="classic" trigger_index="42" type="register"/>\r
-            <net data_index="49" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="57" tap_mode="classic" trigger_index="41" type="register"/>\r
-            <net data_index="48" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="56" tap_mode="classic" trigger_index="40" type="register"/>\r
+            <net data_index="39" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="55" tap_mode="classic" trigger_index="31" type="register"/>\r
+            <net data_index="38" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="54" tap_mode="classic" trigger_index="30" type="register"/>\r
+            <net data_index="37" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="53" tap_mode="classic" trigger_index="29" type="register"/>\r
+            <net data_index="36" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="52" tap_mode="classic" trigger_index="28" type="register"/>\r
+            <net data_index="35" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="51" tap_mode="classic" trigger_index="27" type="register"/>\r
+            <net data_index="34" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="50" tap_mode="classic" trigger_index="26" type="register"/>\r
+            <net data_index="33" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="49" tap_mode="classic" trigger_index="25" type="register"/>\r
+            <net data_index="32" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="mos6502:cpu_inst|reg_d_out[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="48" tap_mode="classic" trigger_index="24" type="register"/>\r
           </bus>\r
           <bus name="reg_acc" order="msb_to_lsb" type="register">\r
-            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[7]" storage_index="7" tap_mode="classic" type="register"/>\r
-            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[6]" storage_index="6" tap_mode="classic" type="register"/>\r
-            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[5]" storage_index="5" tap_mode="classic" type="register"/>\r
-            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[4]" storage_index="4" tap_mode="classic" type="register"/>\r
-            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[3]" storage_index="3" tap_mode="classic" type="register"/>\r
-            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[2]" storage_index="2" tap_mode="classic" type="register"/>\r
-            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[1]" storage_index="1" tap_mode="classic" type="register"/>\r
-            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[0]" storage_index="0" tap_mode="classic" type="register"/>\r
-          </bus>\r
-          <bus name="reg_y" order="msb_to_lsb" type="register">\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[7]" storage_index="79" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[6]" storage_index="78" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[5]" storage_index="77" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[4]" storage_index="76" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[3]" storage_index="75" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[2]" storage_index="74" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[1]" storage_index="73" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[0]" storage_index="72" tap_mode="classic" type="register"/>\r
+            <net data_index="7" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="23" tap_mode="classic" type="register"/>\r
+            <net data_index="6" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="22" tap_mode="classic" type="register"/>\r
+            <net data_index="5" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="21" tap_mode="classic" type="register"/>\r
+            <net data_index="4" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="20" tap_mode="classic" type="register"/>\r
+            <net data_index="3" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="19" tap_mode="classic" type="register"/>\r
+            <net data_index="2" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="18" tap_mode="classic" type="register"/>\r
+            <net data_index="1" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="17" tap_mode="classic" type="register"/>\r
+            <net data_index="0" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_acc[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="16" tap_mode="classic" type="register"/>\r
           </bus>\r
           <bus name="reg_x" order="msb_to_lsb" type="register">\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[7]" storage_index="71" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[6]" storage_index="70" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[5]" storage_index="69" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[4]" storage_index="68" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[3]" storage_index="67" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[2]" storage_index="66" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[1]" storage_index="65" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[0]" storage_index="64" tap_mode="classic" type="register"/>\r
+            <net data_index="87" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="87" tap_mode="classic" type="register"/>\r
+            <net data_index="86" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="86" tap_mode="classic" type="register"/>\r
+            <net data_index="85" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="85" tap_mode="classic" type="register"/>\r
+            <net data_index="84" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="84" tap_mode="classic" type="register"/>\r
+            <net data_index="83" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="83" tap_mode="classic" type="register"/>\r
+            <net data_index="82" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="82" tap_mode="classic" type="register"/>\r
+            <net data_index="81" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="81" tap_mode="classic" type="register"/>\r
+            <net data_index="80" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_x[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="80" tap_mode="classic" type="register"/>\r
+          </bus>\r
+          <bus name="reg_y" order="msb_to_lsb" type="register">\r
+            <net data_index="95" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="95" tap_mode="classic" type="register"/>\r
+            <net data_index="94" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="94" tap_mode="classic" type="register"/>\r
+            <net data_index="93" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="93" tap_mode="classic" type="register"/>\r
+            <net data_index="92" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="92" tap_mode="classic" type="register"/>\r
+            <net data_index="91" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="91" tap_mode="classic" type="register"/>\r
+            <net data_index="90" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="90" tap_mode="classic" type="register"/>\r
+            <net data_index="89" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="89" tap_mode="classic" type="register"/>\r
+            <net data_index="88" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_y[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="88" tap_mode="classic" type="register"/>\r
           </bus>\r
           <bus name="reg_sp" order="msb_to_lsb" type="register">\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[7]" storage_index="55" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[6]" storage_index="54" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[5]" storage_index="53" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[4]" storage_index="52" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[3]" storage_index="51" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[2]" storage_index="50" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[1]" storage_index="49" tap_mode="classic" type="register"/>\r
-            <net is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[0]" storage_index="48" tap_mode="classic" type="register"/>\r
+            <net data_index="71" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[7]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="71" tap_mode="classic" type="register"/>\r
+            <net data_index="70" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[6]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="70" tap_mode="classic" type="register"/>\r
+            <net data_index="69" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[5]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="69" tap_mode="classic" type="register"/>\r
+            <net data_index="68" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[4]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="68" tap_mode="classic" type="register"/>\r
+            <net data_index="67" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[3]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="67" tap_mode="classic" type="register"/>\r
+            <net data_index="66" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[2]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="66" tap_mode="classic" type="register"/>\r
+            <net data_index="65" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[1]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="65" tap_mode="classic" type="register"/>\r
+            <net data_index="64" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="mos6502:cpu_inst|reg_sp[0]" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="64" tap_mode="classic" type="register"/>\r
+          </bus>\r
+          <bus name="reg_status" order="msb_to_lsb" type="register">\r
+            <net data_index="79" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="79" tap_mode="classic" trigger_index="47" type="register"/>\r
+            <net data_index="78" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="78" tap_mode="classic" trigger_index="46" type="register"/>\r
+            <net data_index="77" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="77" tap_mode="classic" trigger_index="45" type="register"/>\r
+            <net data_index="76" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="76" tap_mode="classic" trigger_index="44" type="register"/>\r
+            <net data_index="75" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="75" tap_mode="classic" trigger_index="43" type="register"/>\r
+            <net data_index="74" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="74" tap_mode="classic" trigger_index="42" type="register"/>\r
+            <net data_index="73" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="73" tap_mode="classic" trigger_index="41" type="register"/>\r
+            <net data_index="72" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="mos6502:cpu_inst|reg_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="72" tap_mode="classic" trigger_index="40" type="register"/>\r
           </bus>\r
           <bus name="reg_ppu_ctrl" order="msb_to_lsb" type="register">\r
-            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="184" type="register"/>\r
-            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="183" type="register"/>\r
-            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="182" type="register"/>\r
-            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="181" type="register"/>\r
-            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="180" type="register"/>\r
-            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="179" type="register"/>\r
-            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="178" type="register"/>\r
-            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="177" type="register"/>\r
+            <net data_index="120" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="184" tap_mode="classic" trigger_index="184" type="register"/>\r
+            <net data_index="119" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="183" tap_mode="classic" trigger_index="183" type="register"/>\r
+            <net data_index="118" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="182" tap_mode="classic" trigger_index="182" type="register"/>\r
+            <net data_index="117" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="181" tap_mode="classic" trigger_index="181" type="register"/>\r
+            <net data_index="116" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="180" tap_mode="classic" trigger_index="180" type="register"/>\r
+            <net data_index="115" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="179" tap_mode="classic" trigger_index="179" type="register"/>\r
+            <net data_index="114" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="178" tap_mode="classic" trigger_index="178" type="register"/>\r
+            <net data_index="113" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_ctrl[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="177" tap_mode="classic" trigger_index="177" type="register"/>\r
           </bus>\r
           <bus name="reg_ppu_mask" order="msb_to_lsb" type="register">\r
-            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="192" type="register"/>\r
-            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="191" type="register"/>\r
-            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="190" type="register"/>\r
-            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="189" type="register"/>\r
-            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="188" type="register"/>\r
-            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="187" type="register"/>\r
-            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="186" type="register"/>\r
-            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="185" type="register"/>\r
+            <net data_index="128" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="192" tap_mode="classic" trigger_index="192" type="register"/>\r
+            <net data_index="127" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="191" tap_mode="classic" trigger_index="191" type="register"/>\r
+            <net data_index="126" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="190" tap_mode="classic" trigger_index="190" type="register"/>\r
+            <net data_index="125" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="189" tap_mode="classic" trigger_index="189" type="register"/>\r
+            <net data_index="124" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="188" tap_mode="classic" trigger_index="188" type="register"/>\r
+            <net data_index="123" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="187" tap_mode="classic" trigger_index="187" type="register"/>\r
+            <net data_index="122" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="186" tap_mode="classic" trigger_index="186" type="register"/>\r
+            <net data_index="121" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_ppu_mask[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="185" tap_mode="classic" trigger_index="185" type="register"/>\r
           </bus>\r
-          <bus name="reg_ppu_status" order="msb_to_lsb" state="expand" type="register">\r
-            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="259" tap_mode="classic" trigger_index="152" type="register"/>\r
-            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="rising edge" name="render:render_inst|reg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="258" tap_mode="classic" trigger_index="151" type="register"/>\r
-            <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="257" tap_mode="classic" trigger_index="150" type="register"/>\r
-            <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="256" tap_mode="classic" trigger_index="149" type="register"/>\r
-            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="255" tap_mode="classic" trigger_index="148" type="register"/>\r
-            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="254" tap_mode="classic" trigger_index="147" type="register"/>\r
-            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="253" tap_mode="classic" trigger_index="146" type="register"/>\r
-            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="252" tap_mode="classic" trigger_index="145" type="register"/>\r
+          <bus name="reg_ppu_status" order="msb_to_lsb" state="collapse" type="register">\r
+            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="104" type="register"/>\r
+            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="103" type="register"/>\r
+            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="102" type="register"/>\r
+            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="224" tap_mode="classic" trigger_index="101" type="register"/>\r
+            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="223" tap_mode="classic" trigger_index="100" type="register"/>\r
+            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="222" tap_mode="classic" trigger_index="99" type="register"/>\r
+            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="221" tap_mode="classic" trigger_index="98" type="register"/>\r
+            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_ppu_status[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="220" tap_mode="classic" trigger_index="97" type="register"/>\r
           </bus>\r
           <bus name="reg_nes_x" order="msb_to_lsb" type="register">\r
-            <net data_index="154" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="242" tap_mode="classic" trigger_index="210" type="register"/>\r
-            <net data_index="153" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="241" tap_mode="classic" trigger_index="209" type="register"/>\r
-            <net data_index="152" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="240" tap_mode="classic" trigger_index="208" type="register"/>\r
-            <net data_index="151" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="239" tap_mode="classic" trigger_index="207" type="register"/>\r
-            <net data_index="150" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="238" tap_mode="classic" trigger_index="206" type="register"/>\r
-            <net data_index="149" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="237" tap_mode="classic" trigger_index="205" type="register"/>\r
-            <net data_index="148" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="236" tap_mode="classic" trigger_index="204" type="register"/>\r
-            <net data_index="147" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="235" tap_mode="classic" trigger_index="203" type="register"/>\r
-            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="234" tap_mode="classic" trigger_index="202" type="register"/>\r
+            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="210" tap_mode="classic" trigger_index="210" type="register"/>\r
+            <net data_index="136" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="209" tap_mode="classic" trigger_index="209" type="register"/>\r
+            <net data_index="135" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="208" tap_mode="classic" trigger_index="208" type="register"/>\r
+            <net data_index="134" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="207" tap_mode="classic" trigger_index="207" type="register"/>\r
+            <net data_index="133" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="206" tap_mode="classic" trigger_index="206" type="register"/>\r
+            <net data_index="132" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="205" tap_mode="classic" trigger_index="205" type="register"/>\r
+            <net data_index="131" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="204" tap_mode="classic" trigger_index="204" type="register"/>\r
+            <net data_index="130" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="203" tap_mode="classic" trigger_index="203" type="register"/>\r
+            <net data_index="129" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_x[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="202" tap_mode="classic" trigger_index="202" type="register"/>\r
           </bus>\r
           <bus name="reg_nes_y" order="msb_to_lsb" type="register">\r
-            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="251" tap_mode="classic" trigger_index="219" type="register"/>\r
-            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="250" tap_mode="classic" trigger_index="218" type="register"/>\r
-            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="249" tap_mode="classic" trigger_index="217" type="register"/>\r
-            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="248" tap_mode="classic" trigger_index="216" type="register"/>\r
-            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="247" tap_mode="classic" trigger_index="215" type="register"/>\r
-            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="246" tap_mode="classic" trigger_index="214" type="register"/>\r
-            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="245" tap_mode="classic" trigger_index="213" type="register"/>\r
-            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="244" tap_mode="classic" trigger_index="212" type="register"/>\r
-            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="243" tap_mode="classic" trigger_index="211" type="register"/>\r
+            <net data_index="146" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="219" tap_mode="classic" trigger_index="219" type="register"/>\r
+            <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="218" tap_mode="classic" trigger_index="218" type="register"/>\r
+            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="217" tap_mode="classic" trigger_index="217" type="register"/>\r
+            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="216" tap_mode="classic" trigger_index="216" type="register"/>\r
+            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="215" tap_mode="classic" trigger_index="215" type="register"/>\r
+            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="214" tap_mode="classic" trigger_index="214" type="register"/>\r
+            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="213" tap_mode="classic" trigger_index="213" type="register"/>\r
+            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="212" tap_mode="classic" trigger_index="212" type="register"/>\r
+            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_nes_y[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="211" tap_mode="classic" trigger_index="211" type="register"/>\r
           </bus>\r
           <bus name="reg_v_addr" order="msb_to_lsb" type="register">\r
-            <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="268" tap_mode="classic" trigger_index="236" type="register"/>\r
-            <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="267" tap_mode="classic" trigger_index="235" type="register"/>\r
-            <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="266" tap_mode="classic" trigger_index="234" type="register"/>\r
-            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="265" tap_mode="classic" trigger_index="233" type="register"/>\r
-            <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="277" tap_mode="classic" trigger_index="245" type="register"/>\r
-            <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="276" tap_mode="classic" trigger_index="244" type="register"/>\r
-            <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="275" tap_mode="classic" trigger_index="243" type="register"/>\r
-            <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="274" tap_mode="classic" trigger_index="242" type="register"/>\r
-            <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="273" tap_mode="classic" trigger_index="241" type="register"/>\r
-            <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="272" tap_mode="classic" trigger_index="240" type="register"/>\r
-            <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="271" tap_mode="classic" trigger_index="239" type="register"/>\r
-            <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="270" tap_mode="classic" trigger_index="238" type="register"/>\r
-            <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="269" tap_mode="classic" trigger_index="237" type="register"/>\r
-            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="264" tap_mode="classic" trigger_index="232" type="register"/>\r
+            <net data_index="212" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[13]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="294" tap_mode="classic" trigger_index="236" type="register"/>\r
+            <net data_index="211" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[12]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="293" tap_mode="classic" trigger_index="235" type="register"/>\r
+            <net data_index="210" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[11]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="292" tap_mode="classic" trigger_index="234" type="register"/>\r
+            <net data_index="209" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[10]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="291" tap_mode="classic" trigger_index="233" type="register"/>\r
+            <net data_index="221" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[9]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="303" tap_mode="classic" trigger_index="245" type="register"/>\r
+            <net data_index="220" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[8]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="302" tap_mode="classic" trigger_index="244" type="register"/>\r
+            <net data_index="219" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="301" tap_mode="classic" trigger_index="243" type="register"/>\r
+            <net data_index="218" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="300" tap_mode="classic" trigger_index="242" type="register"/>\r
+            <net data_index="217" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="299" tap_mode="classic" trigger_index="241" type="register"/>\r
+            <net data_index="216" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="298" tap_mode="classic" trigger_index="240" type="register"/>\r
+            <net data_index="215" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="297" tap_mode="classic" trigger_index="239" type="register"/>\r
+            <net data_index="214" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="296" tap_mode="classic" trigger_index="238" type="register"/>\r
+            <net data_index="213" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="295" tap_mode="classic" trigger_index="237" type="register"/>\r
+            <net data_index="208" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="290" tap_mode="classic" trigger_index="232" type="register"/>\r
           </bus>\r
           <bus name="reg_v_data" order="msb_to_lsb" type="register">\r
-            <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="285" tap_mode="classic" trigger_index="253" type="register"/>\r
-            <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="284" tap_mode="classic" trigger_index="252" type="register"/>\r
-            <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="283" tap_mode="classic" trigger_index="251" type="register"/>\r
-            <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="282" tap_mode="classic" trigger_index="250" type="register"/>\r
-            <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="281" tap_mode="classic" trigger_index="249" type="register"/>\r
-            <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="280" tap_mode="classic" trigger_index="248" type="register"/>\r
-            <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="279" tap_mode="classic" trigger_index="247" type="register"/>\r
-            <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="278" tap_mode="classic" trigger_index="246" type="register"/>\r
+            <net data_index="229" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="311" tap_mode="classic" trigger_index="253" type="register"/>\r
+            <net data_index="228" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="310" tap_mode="classic" trigger_index="252" type="register"/>\r
+            <net data_index="227" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="309" tap_mode="classic" trigger_index="251" type="register"/>\r
+            <net data_index="226" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="308" tap_mode="classic" trigger_index="250" type="register"/>\r
+            <net data_index="225" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="307" tap_mode="classic" trigger_index="249" type="register"/>\r
+            <net data_index="224" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="306" tap_mode="classic" trigger_index="248" type="register"/>\r
+            <net data_index="223" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="305" tap_mode="classic" trigger_index="247" type="register"/>\r
+            <net data_index="222" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="304" tap_mode="classic" trigger_index="246" type="register"/>\r
           </bus>\r
           <bus name="reg_r" order="msb_to_lsb" type="register">\r
-            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="263" tap_mode="classic" trigger_index="231" type="register"/>\r
-            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="262" tap_mode="classic" trigger_index="230" type="register"/>\r
-            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="261" tap_mode="classic" trigger_index="229" type="register"/>\r
-            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="260" tap_mode="classic" trigger_index="228" type="register"/>\r
+            <net data_index="207" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="231" type="register"/>\r
+            <net data_index="206" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="230" type="register"/>\r
+            <net data_index="205" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="229" type="register"/>\r
+            <net data_index="204" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_r[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="228" type="register"/>\r
           </bus>\r
           <bus name="reg_g" order="msb_to_lsb" type="register">\r
-            <net data_index="144" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="232" tap_mode="classic" trigger_index="200" type="register"/>\r
-            <net data_index="143" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="231" tap_mode="classic" trigger_index="199" type="register"/>\r
-            <net data_index="142" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="230" tap_mode="classic" trigger_index="198" type="register"/>\r
-            <net data_index="141" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="229" tap_mode="classic" trigger_index="197" type="register"/>\r
+            <net data_index="176" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="200" tap_mode="classic" trigger_index="200" type="register"/>\r
+            <net data_index="175" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="199" tap_mode="classic" trigger_index="199" type="register"/>\r
+            <net data_index="174" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="198" tap_mode="classic" trigger_index="198" type="register"/>\r
+            <net data_index="173" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_g[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="197" tap_mode="classic" trigger_index="197" type="register"/>\r
           </bus>\r
           <bus name="reg_b" order="msb_to_lsb" type="register">\r
-            <net data_index="140" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="228" tap_mode="classic" trigger_index="196" type="register"/>\r
-            <net data_index="139" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="227" tap_mode="classic" trigger_index="195" type="register"/>\r
-            <net data_index="138" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="226" tap_mode="classic" trigger_index="194" type="register"/>\r
-            <net data_index="137" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="225" tap_mode="classic" trigger_index="193" type="register"/>\r
+            <net data_index="172" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="196" tap_mode="classic" trigger_index="196" type="register"/>\r
+            <net data_index="171" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="195" tap_mode="classic" trigger_index="195" type="register"/>\r
+            <net data_index="170" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="194" tap_mode="classic" trigger_index="194" type="register"/>\r
+            <net data_index="169" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_b[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="193" tap_mode="classic" trigger_index="193" type="register"/>\r
+          </bus>\r
+          <net data_index="161" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="201" tap_mode="classic" trigger_index="201" type="register"/>\r
+          <net data_index="246" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="312" tap_mode="classic" trigger_index="254" type="register"/>\r
+          <bus name="ppu:ppu_inst|reg_oam_addr" order="msb_to_lsb" type="register">\r
+            <net data_index="136" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="168" tap_mode="classic" trigger_index="104" type="register"/>\r
+            <net data_index="135" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="167" tap_mode="classic" trigger_index="103" type="register"/>\r
+            <net data_index="134" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="166" tap_mode="classic" trigger_index="102" type="register"/>\r
+            <net data_index="133" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="165" tap_mode="classic" trigger_index="101" type="register"/>\r
+            <net data_index="132" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="164" tap_mode="classic" trigger_index="100" type="register"/>\r
+            <net data_index="131" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="163" tap_mode="classic" trigger_index="99" type="register"/>\r
+            <net data_index="130" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="162" tap_mode="classic" trigger_index="98" type="register"/>\r
+            <net data_index="129" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="161" tap_mode="classic" trigger_index="97" type="register"/>\r
+          </bus>\r
+          <bus name="ppu:ppu_inst|reg_oam_data" order="msb_to_lsb" type="register">\r
+            <net data_index="144" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="176" tap_mode="classic" trigger_index="128" type="register"/>\r
+            <net data_index="143" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="175" tap_mode="classic" trigger_index="127" type="register"/>\r
+            <net data_index="142" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="174" tap_mode="classic" trigger_index="126" type="register"/>\r
+            <net data_index="141" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="173" tap_mode="classic" trigger_index="125" type="register"/>\r
+            <net data_index="140" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="172" tap_mode="classic" trigger_index="124" type="register"/>\r
+            <net data_index="139" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="171" tap_mode="classic" trigger_index="123" type="register"/>\r
+            <net data_index="138" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="170" tap_mode="classic" trigger_index="122" type="register"/>\r
+            <net data_index="137" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="ppu:ppu_inst|reg_oam_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="169" tap_mode="classic" trigger_index="121" type="register"/>\r
+          </bus>\r
+          <bus name="apu:apu_inst|reg_spr_addr" order="msb_to_lsb" type="register">\r
+            <net data_index="7" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="7" tap_mode="classic" trigger_index="7" type="register"/>\r
+            <net data_index="6" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="6" tap_mode="classic" trigger_index="6" type="register"/>\r
+            <net data_index="5" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="5" tap_mode="classic" trigger_index="5" type="register"/>\r
+            <net data_index="4" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="4" tap_mode="classic" trigger_index="4" type="register"/>\r
+            <net data_index="3" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="3" tap_mode="classic" trigger_index="3" type="register"/>\r
+            <net data_index="2" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="2" tap_mode="classic" trigger_index="2" type="register"/>\r
+            <net data_index="1" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="1" tap_mode="classic" trigger_index="1" type="register"/>\r
+            <net data_index="0" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_addr[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="0" tap_mode="classic" trigger_index="0" type="register"/>\r
+          </bus>\r
+          <bus name="apu:apu_inst|reg_spr_data" order="msb_to_lsb" type="register">\r
+            <net data_index="15" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[7]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="15" tap_mode="classic" trigger_index="15" type="register"/>\r
+            <net data_index="14" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[6]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="14" tap_mode="classic" trigger_index="14" type="register"/>\r
+            <net data_index="13" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[5]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="13" tap_mode="classic" trigger_index="13" type="register"/>\r
+            <net data_index="12" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[4]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="12" tap_mode="classic" trigger_index="12" type="register"/>\r
+            <net data_index="11" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[3]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="11" tap_mode="classic" trigger_index="11" type="register"/>\r
+            <net data_index="10" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[2]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="10" tap_mode="classic" trigger_index="10" type="register"/>\r
+            <net data_index="9" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[1]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="9" tap_mode="classic" trigger_index="9" type="register"/>\r
+            <net data_index="8" is_data_input="false" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="apu:apu_inst|reg_spr_data[0]" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="8" tap_mode="classic" trigger_index="8" type="register"/>\r
+          </bus>\r
+          <net data_index="187" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr0_eval" storage_index="264" tap_mode="classic" type="register"/>\r
+          <net data_index="188" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr0_hit" storage_index="265" tap_mode="classic" trigger_index="105" type="register"/>\r
+          <bus name="reg_sft_ptn_h" order="msb_to_lsb" type="register">\r
+            <net data_index="161" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[15]" storage_index="238" tap_mode="classic" type="register"/>\r
+            <net data_index="160" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[14]" storage_index="237" tap_mode="classic" type="register"/>\r
+            <net data_index="159" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[13]" storage_index="236" tap_mode="classic" type="register"/>\r
+            <net data_index="158" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[12]" storage_index="235" tap_mode="classic" type="register"/>\r
+            <net data_index="157" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[11]" storage_index="234" tap_mode="classic" type="register"/>\r
+            <net data_index="156" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[10]" storage_index="233" tap_mode="classic" type="register"/>\r
+            <net data_index="170" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[9]" storage_index="247" tap_mode="classic" type="register"/>\r
+            <net data_index="169" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[8]" storage_index="246" tap_mode="classic" type="register"/>\r
+            <net data_index="168" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[7]" storage_index="245" tap_mode="classic" type="register"/>\r
+            <net data_index="167" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[6]" storage_index="244" tap_mode="classic" type="register"/>\r
+            <net data_index="166" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[5]" storage_index="243" tap_mode="classic" type="register"/>\r
+            <net data_index="165" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[4]" storage_index="242" tap_mode="classic" type="register"/>\r
+            <net data_index="164" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[3]" storage_index="241" tap_mode="classic" type="register"/>\r
+            <net data_index="163" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[2]" storage_index="240" tap_mode="classic" type="register"/>\r
+            <net data_index="162" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[1]" storage_index="239" tap_mode="classic" type="register"/>\r
+            <net data_index="155" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_h[0]" storage_index="232" tap_mode="classic" type="register"/>\r
+          </bus>\r
+          <bus name="reg_sft_ptn_l" order="msb_to_lsb" type="register">\r
+            <net data_index="177" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[15]" storage_index="254" tap_mode="classic" type="register"/>\r
+            <net data_index="176" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[14]" storage_index="253" tap_mode="classic" type="register"/>\r
+            <net data_index="175" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[13]" storage_index="252" tap_mode="classic" type="register"/>\r
+            <net data_index="174" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[12]" storage_index="251" tap_mode="classic" type="register"/>\r
+            <net data_index="173" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[11]" storage_index="250" tap_mode="classic" type="register"/>\r
+            <net data_index="172" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[10]" storage_index="249" tap_mode="classic" type="register"/>\r
+            <net data_index="186" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[9]" storage_index="263" tap_mode="classic" type="register"/>\r
+            <net data_index="185" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[8]" storage_index="262" tap_mode="classic" type="register"/>\r
+            <net data_index="184" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[7]" storage_index="261" tap_mode="classic" type="register"/>\r
+            <net data_index="183" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[6]" storage_index="260" tap_mode="classic" type="register"/>\r
+            <net data_index="182" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[5]" storage_index="259" tap_mode="classic" type="register"/>\r
+            <net data_index="181" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[4]" storage_index="258" tap_mode="classic" type="register"/>\r
+            <net data_index="180" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[3]" storage_index="257" tap_mode="classic" type="register"/>\r
+            <net data_index="179" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[2]" storage_index="256" tap_mode="classic" type="register"/>\r
+            <net data_index="178" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[1]" storage_index="255" tap_mode="classic" type="register"/>\r
+            <net data_index="171" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_sft_ptn_l[0]" storage_index="248" tap_mode="classic" type="register"/>\r
+          </bus>\r
+          <bus name="render:render_inst|reg_spr_x[0]" order="msb_to_lsb" type="register">\r
+            <net data_index="212" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][7]" storage_index="289" tap_mode="classic" trigger_index="113" type="register"/>\r
+            <net data_index="211" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][6]" storage_index="288" tap_mode="classic" trigger_index="112" type="register"/>\r
+            <net data_index="210" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][5]" storage_index="287" tap_mode="classic" trigger_index="111" type="register"/>\r
+            <net data_index="209" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][4]" storage_index="286" tap_mode="classic" trigger_index="110" type="register"/>\r
+            <net data_index="208" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][3]" storage_index="285" tap_mode="classic" trigger_index="109" type="register"/>\r
+            <net data_index="207" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][2]" storage_index="284" tap_mode="classic" trigger_index="108" type="register"/>\r
+            <net data_index="206" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][1]" storage_index="283" tap_mode="classic" trigger_index="107" type="register"/>\r
+            <net data_index="205" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="true" level-0="dont_care" name="render:render_inst|reg_spr_x[0][0]" storage_index="282" tap_mode="classic" trigger_index="106" type="register"/>\r
+          </bus>\r
+          <bus name="render:render_inst|reg_spr_ptn_l[0][7..0] (1)" order="msb_to_lsb" type="register">\r
+            <net data_index="204" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][7]" storage_index="281" tap_mode="classic" type="register"/>\r
+            <net data_index="203" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][6]" storage_index="280" tap_mode="classic" type="register"/>\r
+            <net data_index="202" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][5]" storage_index="279" tap_mode="classic" type="register"/>\r
+            <net data_index="201" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][4]" storage_index="278" tap_mode="classic" type="register"/>\r
+            <net data_index="200" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][3]" storage_index="277" tap_mode="classic" type="register"/>\r
+            <net data_index="199" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][2]" storage_index="276" tap_mode="classic" type="register"/>\r
+            <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][1]" storage_index="275" tap_mode="classic" type="register"/>\r
+            <net data_index="197" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_l[0][0]" storage_index="274" tap_mode="classic" type="register"/>\r
+          </bus>\r
+          <bus name="render:render_inst|reg_spr_ptn_h[0][7..0] (1)" order="msb_to_lsb" type="register">\r
+            <net data_index="196" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][7]" storage_index="273" tap_mode="classic" type="register"/>\r
+            <net data_index="195" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][6]" storage_index="272" tap_mode="classic" type="register"/>\r
+            <net data_index="194" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][5]" storage_index="271" tap_mode="classic" type="register"/>\r
+            <net data_index="193" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][4]" storage_index="270" tap_mode="classic" type="register"/>\r
+            <net data_index="192" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][3]" storage_index="269" tap_mode="classic" type="register"/>\r
+            <net data_index="191" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][2]" storage_index="268" tap_mode="classic" type="register"/>\r
+            <net data_index="190" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][1]" storage_index="267" tap_mode="classic" type="register"/>\r
+            <net data_index="189" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" name="render:render_inst|reg_spr_ptn_h[0][0]" storage_index="266" tap_mode="classic" type="register"/>\r
           </bus>\r
-          <net data_index="145" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_h_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="233" tap_mode="classic" trigger_index="201" type="register"/>\r
-          <net data_index="198" is_data_input="true" is_node_valid="true" is_storage_input="true" is_trigger_input="false" level-0="dont_care" name="render:render_inst|reg_v_sync_n" pwr_level-0="dont_care" pwr_storage-0="dont_care" pwr_storage-1="dont_care" pwr_storage-2="dont_care" storage-0="dont_care" storage-1="dont_care" storage-2="dont_care" storage_index="286" tap_mode="classic" trigger_index="254" type="register"/>\r
         </setup_view>\r
         <trigger_in_editor is_enabled="false"/>\r
         <trigger_out_editor/>\r
       </presentation>\r
-      <trigger CRC="B119D493" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2016/09/25 01:14:12  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
+      <trigger CRC="4C7C2D28" attribute_mem_mode="false" gap_record="true" global_temp="1" is_expanded="true" name="trigger: 2016/10/08 15:42:25  #0" position="pre" power_up_trigger_mode="false" record_data_gap="true" segment_size="8192" storage_mode="off" storage_qualifier_disabled="no" storage_qualifier_port_is_pin="true" storage_qualifier_port_name="auto_stp_external_storage_qualifier" storage_qualifier_port_tap_mode="classic" trigger_type="circular">\r
         <power_up_trigger position="pre" storage_qualifier_disabled="no"/>\r
         <events use_custom_flow_control="no">\r
-          <level enabled="yes" name="condition1" type="basic">'render:render_inst|reg_ppu_status[6]' == rising edge\r
+          <level enabled="yes" name="condition1" type="basic">'po_exc_cnt[0]' == high &amp;&amp; 'po_exc_cnt[10]' == high &amp;&amp; 'po_exc_cnt[11]' == low &amp;&amp; 'po_exc_cnt[12]' == low &amp;&amp; 'po_exc_cnt[13]' == low &amp;&amp; 'po_exc_cnt[14]' == low &amp;&amp; 'po_exc_cnt[15]' == low &amp;&amp; 'po_exc_cnt[16]' == low &amp;&amp; 'po_exc_cnt[17]' == low &amp;&amp; 'po_exc_cnt[18]' == low &amp;&amp; 'po_exc_cnt[19]' == low &amp;&amp; 'po_exc_cnt[1]' == low &amp;&amp; 'po_exc_cnt[20]' == low &amp;&amp; 'po_exc_cnt[21]' == low &amp;&amp; 'po_exc_cnt[22]' == low &amp;&amp; 'po_exc_cnt[23]' == low &amp;&amp; 'po_exc_cnt[24]' == low &amp;&amp; 'po_exc_cnt[25]' == low &amp;&amp; 'po_exc_cnt[26]' == low &amp;&amp; 'po_exc_cnt[27]' == low &amp;&amp; 'po_exc_cnt[28]' == low &amp;&amp; 'po_exc_cnt[29]' == low &amp;&amp; 'po_exc_cnt[2]' == high &amp;&amp; 'po_exc_cnt[30]' == low &amp;&amp; 'po_exc_cnt[31]' == low &amp;&amp; 'po_exc_cnt[32]' == low &amp;&amp; 'po_exc_cnt[33]' == low &amp;&amp; 'po_exc_cnt[34]' == low &amp;&amp; 'po_exc_cnt[35]' == low &amp;&amp; 'po_exc_cnt[36]' == low &amp;&amp; 'po_exc_cnt[37]' == low &amp;&amp; 'po_exc_cnt[38]' == low &amp;&amp; 'po_exc_cnt[39]' == low &amp;&amp; 'po_exc_cnt[3]' == low &amp;&amp; 'po_exc_cnt[40]' == low &amp;&amp; 'po_exc_cnt[41]' == low &amp;&amp; 'po_exc_cnt[42]' == low &amp;&amp; 'po_exc_cnt[43]' == low &amp;&amp; 'po_exc_cnt[44]' == low &amp;&amp; 'po_exc_cnt[45]' == low &amp;&amp; 'po_exc_cnt[46]' == low &amp;&amp; 'po_exc_cnt[47]' == low &amp;&amp; 'po_exc_cnt[48]' == low &amp;&amp; 'po_exc_cnt[49]' == high &amp;&amp; 'po_exc_cnt[4]' == low &amp;&amp; 'po_exc_cnt[50]' == low &amp;&amp; 'po_exc_cnt[51]' == high &amp;&amp; 'po_exc_cnt[52]' == high &amp;&amp; 'po_exc_cnt[53]' == high &amp;&amp; 'po_exc_cnt[54]' == low &amp;&amp; 'po_exc_cnt[55]' == high &amp;&amp; 'po_exc_cnt[56]' == low &amp;&amp; 'po_exc_cnt[57]' == high &amp;&amp; 'po_exc_cnt[58]' == low &amp;&amp; 'po_exc_cnt[59]' == low &amp;&amp; 'po_exc_cnt[5]' == low &amp;&amp; 'po_exc_cnt[60]' == low &amp;&amp; 'po_exc_cnt[61]' == low &amp;&amp; 'po_exc_cnt[62]' == low &amp;&amp; 'po_exc_cnt[63]' == low &amp;&amp; 'po_exc_cnt[6]' == low &amp;&amp; 'po_exc_cnt[7]' == high &amp;&amp; 'po_exc_cnt[8]' == low &amp;&amp; 'po_exc_cnt[9]' == high\r
             <power_up enabled="yes">\r
             </power_up><op_node/>\r
           </level>\r
@@ -1590,8 +1690,8 @@ trigger;]]>
           </custom_flow_control>\r
         </events>\r
         <storage_qualifier_events>\r
-          <transitional>00000000111111111111111100000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000011111111000000000000000000000000000001\r
-            <pwr_up_transitional>00000000111111111111111100000000000000000000000000000000000000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000000000000000000000000011111111000000000000000000000000000001</pwr_up_transitional>\r
+          <transitional>0000000000000000000000001111111111111111111111111111111100000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000100000000000000001111111100000000000000000000000111\r
+            <pwr_up_transitional>0000000000000000000000001111111111111111111111111111111100000000000000000000000000000000000000001111111111111111111111111111111111111111111111111111111111111111100000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000100000000000000001111111100000000000000000000000111</pwr_up_transitional>\r
           </transitional>\r
           <storage_qualifier_level type="basic">\r
             <power_up>\r
@@ -1610,21 +1710,22 @@ trigger;]]>
           </storage_qualifier_level>\r
         </storage_qualifier_events>\r
         <log>\r
-          <data global_temp="1" name="log: 2016/09/25 01:14:12  #1" power_up_mode="false" sample_depth="8192" trigger_position="1024">00001000100000110010101010010100001001001011010100100100101000000000100000000000000000000010000000000100000011101100110010000100001111000111100011110101110001110000000010001010100011111110001111111110100000100010000011001010101001010000100100101101010010010010100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111010111000111000000001000101010001111111000111111111010000010001000001100101010100101000010010010010100001001001010000000001000000000000000000000100000000001000000111011001100100001000011110001111000111101011100011100000000100010101000111000111111111111101000001000100000110010101010010100001001001001010000100100101000000000100000000000000000000010000000000100000011101100110010000100001111000111100011110101110001110000000010001010100011100011111111111110100000100010000011001010101001010000100100100101000010010010100000000010000000000000000000001000000000010000001110110011001000010000111100011110001100110111000111000000001000101010001110001111010101011010000010001000001100101010100101000010010010010100001001001010000000001000000000000000000000100000000001000000111011001100100001000011110001111000110011011100011100000000100010101000111000111101010101101000001000100000110010101010010100001001001001010000100100101000000000100000000000000000000010000000000100000011101100110010000100001111000111100011001101110001110000000010001010100011100011110101010110100000100010000011001010101001010000100100100101000010010010100000000010000000000000000000001000000000010000001110110011001000010000111100011110001100110111000111000000001000101010001110001111010101011010000010001000001100101010100101000010010010010100001001001010000000001000000000000000000000100000000001000000111011001100100001000011110001111000111011011100011100000000100010101000111000111111111111101000001000100000110010101010010100001001001001010000100100101000000000100000000000000000000010000000000100000011101100110010000100001111000111100011101101110001110000000010001010100011100011111111111110100000100010000011001010101001010000100100100101000010010010100000000010000000000000000000001000000000010000001110110011001000010000111100011110001110110111000111000000001000101000010010001001111111111010000010001000001100101010100101000010010010010100001001001010000000001000000000000000000000100000000001000000111011001100100001000011110001111000111011011100011100000000100010100001001000100111111111101000001000100000110010101010010100001001001001010000100100101000000000100000000000000000000010000000000100000011101100110010000100001111000111100011011101110001110000000010001010000100100010010010010010100000100010000011001010101001010000100100100101000010010010100000000010000000000000000000001000000000010000001110110011001000010000111100011110001101110111000111000000001000101000010010001001000000001010000010001000001100101010100101000010010010010100001001001010000000001000000000000000000000100000000001000000111011001100100001000011110001111000110111011100011100000000100010100001001000100100000000101000001000100000110010101010010100001001001001010000100100101000000000100000000000000000000010000000000100000011101100110010000100001111000111100011011101110001110000000010001010000100100010010000000010100000100010000011001010101001010000100100100101000010010010100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111110111000111000000001000101000010010001001111111111010000010001000001100101010100101000010010010010100001001001010000000001000000000000000000000100000000001000000111011001100100001000011110001111000111111011100011100000000100010100001001000100111111111101000001000100000110010101010010100001001001001010000100100101000000000100000000000000000000010000000000100000011101100110010000100001111000111100011111101110001110000000010001010000100110010011111111110100000100010000011001010101001010000100100100101000010010010100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111110111000111000000001000101000010011001001111111111010000010001000001100101010100101000010010010010100001001001010000000001000000000000000000000100000000001000000111011001100100001000011110001111000110000111100011100000000100010100001001100100100000000101000001000100000110010101010010100001001001001010000100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011000011110001110000000010001010000100110010010000000010100000100000000010101010101001010000100100100101000010010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001100001111000111000000001000101000010011001001000000001010000010000000001010101010100101000010010010010100001001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110000111100011100000000100010100001001100100100000000101000001000000000101010101000000010001001001001010000100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011100011110001110000000010001010000100110010011111111110100000100000000010101010100000001000100100100101000010010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001110001111000111000000001000101000010011001001111111111010000010000000001010101010000000100010010010010100001001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111000111100011100000000100010100100100001100011111111101000001000000000101010101000000010001001001001010000100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011100011110001110000000010001010010010000110001111111110100000100000000010101010100000001000100100100101000010010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001101001111000111000000001000101001001000011000111000001010000010000000001010101010000000100010010010010100001001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110100111100011100000000100010100100100001100000100100101000001000000000101010101000000010001001001001010000100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011010011110001110000000010001010010010000110000010010010100000100000000010101010100000001000100100100101000010010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001101001111000111000000001000101001001000011000001001001010000010000000001010101010000000100010010010010100001001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111100111100011100000000100010100100100001100011111111101000001000000000101010101000000010001001001001010000100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011110011110001110000000010001010010010000110001111111110100000000000000010101010100000001000100100100101000010010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111001111000111000000001000101001001000001111111111111010000000000000001010101010000000100010010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111100111100011100000000100010100100100000111111111111101000000000000000101010101000000010001001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011001011110001110000000010001010010010000011110000000010100000000000000010101010100000001000100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001100101111000111000000001000101001001000001111000000001010000000000000001010101010000000100010010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110010111100011100000000100010100100100000111100000000101000000000000000101010101000000010001001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011001011110001110000000010001010010010000011110000000010100000000000000010101010100000001000100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001110101111000111000000001000101001001000001111111111111010000000000000001010101010000000100010010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111010111100011100000000100010100100100000111111111111101000000000000000101010101000000010001001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011101011110001110000000010001010000100100010011111111110100000000000000010101010100000001000100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001110101111000111000000001000101000010010001001111111111010000000000000001010101010000000100010010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110110111100011100000000100010100001001000100100100100101000000000000000101010101000000010001001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011011011110001110000000010001010000100100010010000000010100000000000000010101010100000001000100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001101101111000111000000001000101000010010001001000000001010000000000000001010101010000000100010010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110110111100011100000000100010100001001000100100000000101000000000000000101010101000000010001001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011111011110001110000000010001010000100100010011111111110100000000000000010101010100000001000100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111101111000111000000001000101000010010001001111111111010000000000000001010101010000000100010010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111110111100011100000000100010100001001100100111111111101000000000000000101010101000000010001001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011111011110001110000000010001010000100110010011111111110100000000000000010101010100000001000100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001100011111000111000000001000101000010011001001000000001010000000000000001010101010000000100010010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110001111100011100000000100010100001001100100100000000101000000000100000101010101000000010011001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011000111110001110000000010001010000100110010010000000010100000000010000010101010100000001001100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001100011111000111000000001000101000010011001001000000001010000000001000001010101010000011110110010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111001111100011100000000100010100001001100100111111111101000000000100000101010101000001111011001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011100111110001110000000010001010000100110010011111111110100000000010000010101010100000111101100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001110011111000111000000001000101011001000011000111111111010000000001000001010101010000011110110010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111001111100011100000000100010101100100001100011111111101000000000100000101010101000001111011001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011010111110001110000000010001010110010000110001110000010100000000010000010101010100000111101100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001101011111000111000000001000101011001000011000001001001010000000001000001010101010000011110110010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110101111100011100000000100010101100100001100000100100101000000000100000101010101000001111011001001001010001100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011010111110001110000000010001010110010000110000010010010100000000010000010101010100000111101100100100101000110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111011111000111000000001000101011001000011000111111111010000000001000001010101010000011110110010010010100011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111101111100011100000000100010101100100001100011111111101000000000100000101010101000001111011001000000111101100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011110111110001110000000010001010010010000011111111111110100000000010000010101010100000111101100100000011110110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111011111000111000000001000101001001000001111111111111010000000001000001010101010000011110110010000001111011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110011111100011100000000100010100100100000111100000000101000000000100000101010101000001111011001000000111101100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011001111110001110000000010001010010010000011110000000010100000000010000010101010100000111101100100000011110110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001100111111000111000000001000101001001000001111000000001010000000001000001010101010000011110110010000001111011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110011111100011100000000100010100100100000111100000000101000000000100000101010101000001111011001000000111101100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011101111110001110000000010001010010010000011111111111110100000000010000010101010100000111101100100000011110110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001110111111000111000000001000101001001000001111111111111010000000001000001010101010000011110110010000001111011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111011111100011100000000100010100001001000100111111111101000000000100000101010101000001111011001000000111101100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011101111110001110000000010001010000100100010011111111110100000000010000010101010100000111101100100000011110110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001101111111000111000000001000101000010010001001001001001010000000001000001010101010000011110110010000001111011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000110111111100011100000000100010100001001000100100000000101000000000100000101010101000001111011001000000111101100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011011111110001110000000010001010000100100010010000000010100000000010000010101010100000111101100100000011110110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001101111111000111000000001000101000010010001001000000001010000000001000001010101010000011110110010000001111011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111111111100011100000000100010100001001000100111111111101000000000100000101010101000001111011001000000111101100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011111111110001110000000010001010000100100010011111111110100000000010000010101010100000111101100100000011110110010011100000000010000000000000000000001000000000010000001110110011001000010000111100011110001111111111000111000000001000101000010011001001111111111010000000001000001010101010000011110110010000001111011001001110000000001000000000000000000000100000000001000000111011001100100001000011110001111000111111111100011100000000100010100001001100100111111111101000000000100000101010101000001111011001000000111101100100111000000000100000000000000000000010000000000100000011101100110010000100001111000111100011000000001001110000000010001010000100110010010000000010100000000010000010101010100000111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100000000100111000000001000000000010011001001000000001010000000000000001110101010000011110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010000000010011100000000100000000001001100100100000000101000000000000000111010101000001111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000000001001110000000010000000000100110010010000000010100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110000000100111000000001000000000010011001001111111111010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011000000010011100000000100000000001001100100111111111101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100000001001110000000010000000000100110010011111111110100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110000000100111000000001000000000010011001001111111111010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010100000010011100000000100000000001001100100100000000101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010000001001110000000010000000000100110010010000000010100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101000000100111000000001000000000010011001001000000001010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010100000010011100000000100000000001001100100100000000101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110000001001110000000010000000000100110010011111111110100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111000000100111000000001000000000010011001001111111111010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011100000010011100000000100000000001001100100111111111101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110000001001110000000010000000000100110010011111111110100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100100000100111000000001000000000010011001001000000001010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010010000010011100000000100000000001001100100100000000101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001000001001110000000010000000000100110010010000000010100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100100000100111000000001000000000010011001001000000001010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011010000010011100000000100000000001001100100111111111101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101000001001110000000010000000111000101111111111111110100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110100000100111000000001000000011100010111111111111111010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011010000010011100000000100000001110001011111111111111101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011000001001110000000010000000111000101111110011111010100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101100000100111000000001000000011100010111111001111101010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010110000010011100000000100000001110001011111100111110101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011000001001110000000010000000111000101111110011111010100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111100000100111000000001000000011100010111111111111111010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011110000010011100000000100000001110001111111111111111101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111000001001110000000010000000111000111111111111111110100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111100000100111000000001000000011100011111111111111111010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010001000010011100000000100000001110001111111100100000101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000100001001110000000010000000111000111111110010000010100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100010000100111000000001000000011100011111111001000001010000000000000001110101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010001000010011100000000100000001110001111111100100000101000000000000000111010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100100001001110000000010000000111000111111111111111110100000000000000011101010101001111101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110010000100111000000001000000011100011111111111111111010000000000000001000101010100111110110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011001000010011100000000100000001110001111111111111111101000000000000000100010101010011111011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100100001001110000000010000000111000111111111111111110100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101010000100111000000001000000011100011111111001000001010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010101000010011100000000100000001110001111111100100000101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010100001001110000000010000000111000111111110010000010100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101010000100111000000001000000011100011111111001000001010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011101000010011100000000100000001110001111111111111111101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110100001001110000000010000000111000111111111111111110100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111010000100111000000001000000011100011111111111111111010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011101000010011100000000100000001110001111111111111111101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001100001001110000000010000000111000111111110010000010100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100110000100111000000001000000011100011111111001000001010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010011000010011100000000100000001110001111111100100000101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001100001001110000000010000000111000111111110010000010100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110110000100111000000001000000011100011111111111111111010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011011000010011100000000100000000110010011111111111111101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101100001001110000000010000000011001001111111111111110100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110110000100111000000001000000001100100111111111111111010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010111000010011100000000100000000110010011111100000000101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011100001001110000000010000000011001001111110000000010100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101110000100111000000001000000001100100111111000000001010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010111000010011100000000100000000110010011111100000000101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111100001001110000000010000000011001001111111111111110100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111110000100111000000001000000001100101111111111111111010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011111000010011100000000100000000110010111111111111111101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111100001001110000000010000000011001011111111111111110100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100001000100111000000001000000001100101111111000000001010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010000100010011100000000100000000110010111111100000000101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000010001001110000000010000000011001011111110000000010100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100001000100111000000001000000001100101111111000000001010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011000100010011100000000100000000110010111111111111111101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100010001001110000000010000000011001011111111111111110100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110001000100111000000001000000001100101111111111111111010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011000100010011100000000100000000110010111111111111111101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010010001001110000000010000000011001011111110000000010100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101001000100111000000001000000001100101111111000000001010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010100100010011100000000100000000110010111111100000000101000000000000000100010101010110101011001000000111101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010010001001110000000010000000011001011111110000000010100000000000000010001010101011010101100100000011110110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111001000100111000000001000000001100101111111111111111010000000000000001000101010101101010110010000001111011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011100100010011100000000100000000110010111111111111111101000000000000000100010101010110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110010001001110000000010000000011001011111111111111110100000000000000010001010101011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111001000100111000000001000000001100101111111111111111010000000000000001000101010101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010010100010011100000000100000000110010111111100000000101000000000000000100010101010110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001010001001110000000010000000011001011111110000000010100000000000000010001010101011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100101000100111000000001000000001100101111111000000001010000000000000001000101010101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010010100010011100000000100000000110010111111100000000101000000000000000100010101010110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101010001001110000000010000000011001011111111111111110100000000000000010001010101011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110101000100111000000001000000011100000111111111111111010000000000000001000101010101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011010100010011100000000100000001110000011111111111111101000000000000000100010101010110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101010001001110000000010000000111000001111111111111110100000000000000010001010101011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101101000100111000000001000000011100000111111000000001010000000000000001000101010101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000010110100010011100000000100000001110000011111100000000101000000000000000100010101010110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011010001001110000000010000000111000001111110000000010100000000000000010001010101011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101101000100111000000001000000011100000111111000000001010000000000000001000101010101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011110100010011100000000100000001110000011111111111111101000000000000000100010101010110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111010001001110000000010000000111000011111111111111110100000000000000010001010101011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111101000100111000000001000000011100001111111111111111010000000000000001000101010101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001100100001000011110000000000011110100010011100000000100000001110000111111111111111101000000000000000100010101010110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000110001001110000000010000000111000011111110000000010100000000000000010001010101011010101100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100011000100111000000001000000011100001111111000000001010000000001000001000101010101101010110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010001100010011100000000100000001110000111111100000000101000000000100000100010101010110101011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000110001001110000000010000000111000011111110000000010100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110011000100111000000001000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011001100010011100000000100000001110000111111111111111101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100110001001110000000010000000111000011111111111111110100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110011000100111000000001000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010101100010011100000000100000001110000111111100000000101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010110001001110000000010000000111000011111110000000010100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101011000100111000000001000000011100001111111000000001010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010101100010011100000000100000001110000111111100000000101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110110001001110000000010000000111000011111111111111110100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111011000100111000000001000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011101100010011100000000100000001110000111111111111111101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110110001001110000000010000000111000011111111111111110100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100111000100111000000001000000011100001111111000000001010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010011100010011100000000100000001110000111111100000000101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001110001001110000000010000000111000011111110000000010100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100111000100111000000001000000011100001111111000000001010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011011100010011100000000100000001110000111111111111111101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101110001001110000000010000000111000001111111111111110100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110111000100111000000001000000011100000111111111111111010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011011100010011100000000100000001110000011111111111111101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011110001001110000000010000000111000001111110000000010100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101111000100111000000001000000011100000111111000000001010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010111100010011100000000100000001110000011111100000000101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011110001001110000000010000000111000001111110000000010100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111111000100111000000001000000011100000111111111111111010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011111100010011100000000100000001110000111111111111111101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111110001001110000000010000000111000011111111111111110100000000010000010001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111111000100111000000001000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010000010010011100000000100000001110000111111100000000101000000000100000100010101001000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000001001001110000000010000000111000011111110000000010100000000000000011001010100100000001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100000100100111000000001000000011100001111111000000001010000000000000001100101010010000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010000010010011100000000100000001110000111111100000000101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100001001001110000000010000000111000011111111111111110100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110000100100111000000001000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011000010010011100000000100000001110000111111111111111101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100001001001110000000010000000111000011111111111111110100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101000100100111000000001000000011100001111111000000001010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010100010010011100000000100000001110000111111100000000101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010001001001110000000010000000111000011111110000000010100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101000100100111000000001000000011100001111111000000001010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011100010010011100000000100000001110000111111111111111101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110001001001110000000010000000111000011111111111111110100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111000100100111000000001000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011100010010011100000000100000001110000111111111111111101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001001001001110000000010000000111000011111110000000010100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100100100100111000000001000000011100001111111000000001010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010010010010011100000000100000001110000111111100000000101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001001001001110000000010000000111000011111110000000010100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110100100100111000000001000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011010010010011100000000100000001110000011111111111111101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101001001001110000000010000000111000001111111111111110100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110100100100111000000001000000011100000111111111111111010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010110010010011100000000100000001110000011111100000000101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011001001001110000000010000000111000001111110000000010100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101100100100111000000001000000011100000111111000000001010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010110010010011100000000100000001110000011111100000000101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111001001001110000000010000000111000001111111111111110100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111100100100111000000001000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011110010010011100000000100000001110000111111111111111101000000000000000110010101000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111001001001110000000010000000111000011111111111111110100000000000000011001010100000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100010100100111000000001000000011100001111111000000001010000000000000001100101010000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010001010010011100000000100000001110000111111100000000101000000000000010010000000000000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000101001001110000000010000000111000011111110000000010100000000000001001000000000000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100010100100111000000001000000011100001111111000000001010000000000000100100000000110110000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011001010010011100000000100000001110000111111111111111101000000000000010010000000000001000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100101001001110000000010000000111000011111111111111110100000000000001001000000000000100001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110010100100111000000001000000011100001111111111111111010000000000000100100000000000010000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011001010010011100000000100000001110000111111111111111101000000000000010010000000000001000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010101001001110000000010000000111000011111110000000010100000000000001001000000000000100001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101010100100111000000001000000011100001111111000000001010000000000000100100000000000010000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010101010010011100000000100000001110000111111100000000101000000000000010010000000000001000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010101001001110000000010000000111000011111110000000010100000000000001001000000000000100001100100101101010110010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111010100100111000000001000000011100001111111111111111010000000000000100100000000000010000110010010110101011001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011101010010011100000000100000001110000111111111111111101000001000000010010000000000001000011001001011010101100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110101001001110000000010000000111000011111111111111110100000100000001001000000000000100001100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111010100100111000000001000000011100001111111111111111010000010000000100100000000000010000110010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010011010010011100000000100000001110000111111100000000101000001000000010010000000000001000011001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001101001001110000000010000000111000011111110000000010100000100000001001000000000000100001100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100110100100111000000001000000011100001111111000000001010000010000000100100000000000010000110010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010011010010011100000000100000001110000111111100000000101000001000000010010000000000001000011001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101101001001110000000010000000111000011111111111111110100000100000001001000000000000100001100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110110100100111000000001000000011100000111111111111111010000010000000100100000000000010000110010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011011010010011100000000100000001110000011111111111111101000001000000010010000000000001000011001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101101001001110000000010000000111000001111111111111110100000100000001001000000000000100001100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101110100100111000000001000000011100000111111000000001010000010000000100100000000000010000110010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010111010010011100000000100000001110000011111100000000101000001000000010010000000000001000011001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011101001001110000000010000000111000001111110000000010100000100000001001000000000000100001100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101110100100111000000001000000011100000111111000000001010000010000000100100000000000010000110010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011111010010011100000000100000001110000011111111111111101000001000000010010000000000001000011001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111101001001110000000010000000111000011111111111111110100000100000001001000000000000100001100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111110100100111000000001000000011100001111111111111111010000010000000100100000000000010000110010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011111010010011100000000100000001110000111111111111111101000001000000010010000000000001000011001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000011001001110000000010000000111000011111110000000010100000100000001001000000000000100001100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100001100100111000000001000000011100001111111000000001010000010001000001100101010000010000010010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010000110010011100000000100000001110000111111100000000101000001000100000110010101000001000001001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001000011001001110000000010000000111000011111110000000010100000100010000011001010101111111100100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110001100100111000000001000000011100001111111111111111010000010001000001100101010100101000010010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011000110010011100000000100000001110000111111111111111101000001000100000110010101010010100001001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001100011001001110000000010000000111000011111111111111110100000100010000011001010101001010000100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110001100100111000000001000000011100001111111111111111010000010001000001100101010100101000010010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010100110010011100000000100000001110000111111100000000101000001000100000110010101010010100001001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001010011001001110000000010000000111000011111110000000010100000100010000011001010101001010000100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101001100100111000000001000000011100001111111000000001010000010001000001100101010100101000010010010110101001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010100110010011100000000100000001110000111111100000000101000001000100000110010101010010100001001001011010100100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110011001001110000000010000000111000011111111111111110100000100010000011001010101001010000100100101101010010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111001100100111000000001000000011100001111111111111111010000010001000001100101010100101000010010010010100001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011100110010011100000000100000001110000111111111111111101000001000100000110010101010010100001001001001010000100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001110011001001110000000010000000111000011111111111111110100000100010000011001010101001010000100100100101000010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100101100100111000000001000000011100001111111000000001010000010001000001100101010100101000010010010010100001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010010110010011100000000100000001110000111111100000000101000001000100000110010101010010100001001001001010000100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001001011001001110000000010000000111000011111110000000010100000100010000011001010101001010000100100100101000010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000100101100100111000000001000000011100001111111000000001010000010001000001100101010100101000010010010010100001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011010110010011100000000100000001110000111111111111111101000001000100000110010101010010100001001001001010000100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001101011001001110000000010000000111000001111111111111110100000100010000011001010101001010000100100100101000010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000110101100100111000000001000000011100000111111111111111010000010001000001100101010100101000010010010010100001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011010110010011100000000100000001110000011111111111111101000001000100000110010101010010100001001001001010000100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011011001001110000000010000000111000001111110000000010100000100010000011001010101001010000100100100101000010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000101101100100111000000001000000011100000111111000000001010000010001000001100101010100101000010010010010100001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010110110010011100000000100000001110000011111100000000101000001000100000110010101010010100001001001001010000100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001011011001001110000000010000000111000001111110000000010100000100010000011001010101001010000100100100101000010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111101100100111000000001000000011100000111111111111111010000010001000001100101010100101000010010010010100001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000011110110010011100000000100000001110000111111111111111101000001000100000110010101010010100001001001001010000100100111000000000000000000001000000000010000000000100000011101100110010000100001111000000000001111011001001110000000010000000111000011111111111111110100000100010000011001010101001010000100100100101000010010011100000000000000000000100000000001000000000010000001110110011001000010000111100000000000111101100100111000000001000000011100001111111111111111010000010001000001100101010100101000010010010010100001001001110000000000000000000010000000000100000000001000000111011001100100001000011110000000000010001110010011100000000100000001110000111111100000000101000001000100000110010101010010100001001001001010000100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001000111001001110000000010000000111000011111110000000010100000100000000010101010101001010000100100100101000010010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000100011100100111000000001000000011100001111111000000001010000010000000001010101010100101000010010010010100001001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010001110010011100000000100000001110000111111100000000101000001000000000101010101000000010001001001001010000100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001100111001001110000000010000000111000011111111111111110100000100000000010101010100000001000100100100101000010010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000110011100100111000000001000000011100001111111111111111010000010000000001010101010000000100010010010010100001001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011001110010011100000000100000001110000111111111111111101000001000000000101010101000000010001001001001010000100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001100111001001110000000010000000111000011111111111111110100000100000000010101010100000001000100100100101000010010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000101011100100111000000001000000011100001111111000000001010000010000000001010101010000000100010010010010100001001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010101110010011100000000100000001110000111111100000000101000001000000000101010101000000010001001001001010000100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001010111001001110000000010000000111000011111110000000010100000100000000010101010100000001000100100100101000010010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000101011100100111000000001000000011100001111111000000001010000010000000001010101010000000100010010010010100001001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011101110010011100000000100000001110000111111111111111101000001000000000101010101000000010001001001001010000100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001110111001001110000000010000000111000011111111111111110100000000000000010101010100000001000100100100101000010010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000111011100100111000000001000000011100001111111111111111010000000000000001010101010000000100010010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011101110010011100000000100000001110000111111111111111101000000000000000101010101000000010001001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001001111001001110000000010000000111000011111110000000010100000000000000010101010100000001000100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000100111100100111000000001000000011100001111111000000001010000000000000001010101010000000100010010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010011110010011100000000100000001110000111111100000000101000000000000000101010101000000010001001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001001111001001110000000010000000111000011111110000000010100000000000000010101010100000001000100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000110111100100111000000001000000011100001111111111111111010000000000000001010101010000000100010010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011011110010011100000000100000001110000011111111111111101000000000000000101010101000000010001001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001101111001001110000000010000000111000001111111111111110100000000000000010101010100000001000100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000110111100100111000000001000000011100000111111111111111010000000000000001010101010000000100010010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010111110010011100000000100000001110000011111100000000101000000000000000101010101000000010001001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001011111001001110000000010000000111000001111110000000010100000000000000010101010100000001000100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000101111100100111000000001000000011100000111111000000001010000000000000001010101010000000100010010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010111110010011100000000100000001110000011111100000000101000000000000000101010101000000010001001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001111111001001110000000010000000111000001111111111111110100000000000000010101010100000001000100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000111111100100111000000001000000011100001111111111111111010000000000000001010101010000000100010010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011111110010011100000000100000001110000111111111111111101000000000000000101010101000000010001001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001111111001001110000000010000000111000011111111111111110100000000000000010101010100000001000100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000100000010100111000000001000000011100001111111000000001010000000000000001010101010000000100010010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010000001010011100000000100000001110000111111100000000101000000000100000101010101000000010011001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001000000101001110000000010000000111000011111110000000010100000000010000010101010100000001001100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000100000010100111000000001000000011100001111111000000001010000000001000001010101010000011110110010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011000001010011100000000100000001110000111111111111111101000000000100000101010101000001111011001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001100000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000110000010100111000000000000000001100111111111111111111010000000001000001010101010000011110110010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011000001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001010000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000101000010100111000000000000000001100111111111111111111010000000001000001010101010000011110110010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010100001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001001001010001100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001010000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100100101000110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000111000010100111000000000000000001100111111111111111111010000000001000001010101010000011110110010010010100011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011100001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001000000111101100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001110000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100000011110110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000111000010100111000000000000000001100111111111111111111010000000001000001010101010000011110110010000001111011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010010001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001000000111101100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001001000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100000011110110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000100100010100111000000000000000001100111111111111111111010000000001000001010101010000011110110010000001111011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010010001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001000000111101100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001101000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100000011110110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000110100010100111000000000000000001100110111111111111111010000000001000001010101010000011110110010000001111011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011010001010011100000000000000000110011011111111111111101000000000100000101010101000001111011001000000111101100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001101000101001110000000000000000011001101111111111111110100000000010000010101010100000111101100100000011110110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000101100010100111000000000000000001100110111111111111111010000000001000001010101010000011110110010000001111011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000010110001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001000000111101100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001011000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100000011110110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000101100010100111000000000000000001100111111111111111111010000000001000001010101010000011110110010000001111011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011110001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001000000111101100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001111000101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100000011110110010010100000000010000000000100000000001000000000010000001110110011001000010000111100000000000111100010100111000000000000000001100111111111111111111010000000001000001010101010000011110110010000001111011001001010000000001000000000010000000000100000000001000000111011001100100001000011110000000000011110001010011100000000000000000110011111111111111111101000000000100000101010101000001111011001000000111101100100101000000000100000000001000000000010000000000100000011101100110010000100001111000000000001000100101001110000000000000000011001111111111111111110100000000010000010101010100000111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000100010010100111000000000000000011100001111111111111111010000000000000001110101010000011110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000010001001010011100000000000000001110000111111111111111101000000000000000111010101000001111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000001000100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000110010010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000011001001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000001100100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000110010010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000010101001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000001010100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001010010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000101001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000110100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011010010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001101001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000110100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000000110010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000011001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000001100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000000110010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001011001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000101100101001110000000000000000111000001111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000010110010100111000000000000000011100000111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001011001010011100000000000000001110000011111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000011100101001110000000000000000111000001111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001110010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000111001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000011100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011110010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001111001010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000111100101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011110010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000000101010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000000010101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000000001010100111000000000000000011100001111111111111111010000000000000001110101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000000101010011100000000000000001110000111111111111111101000000000000000111010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000100010101001110000000000000000111000011111111111111110100000000000000011101010101001111101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000010001010100111000000000000000011100001111111111111111010000000000000001000101010100111110110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001000101010011100000000000000001110000111111111111111101000000000000000100010101010011111011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000100010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001001010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000100101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000010010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001001010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001100101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000110010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011001010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001100101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000001010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000000101010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000010101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000001010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000010101010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001010101010011100000000000000001110000011111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000101010101001110000000000000000111000001111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000010101010100111000000000000000011100000111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000110101010011100000000000000001110000011111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000011010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001101010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000110101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000111010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011101010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001110101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000111010101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000000011010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000001101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000000110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000000011010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001001101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000100110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000010011010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001001101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000010110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001011010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000101101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001000000111101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000010110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100000011110110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011011010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010000001111011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001101101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001001011010101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000110110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100101101010110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011011010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010010110101011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000011101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001001011010101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000001110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100101101010110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000000111010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010010110101011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000011101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001001011010101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000101110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100101101010110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000010111010100111000000000000000011100000111111111111111010000000000000001000101010101101010110010010110101011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001011101010011100000000000000001110000011111111111111101000000000000000100010101010110101011001001011010101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000101110101001110000000000000000111000001111111111111110100000000000000010001010101011010101100100101101010110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001111010100111000000000000000011100000111111111111111010000000000000001000101010101101010110010010110101011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000000111101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001001011010101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000011110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100101101010110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000001111010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010010110101011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001111101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001001011010101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000111110101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100101101010110010011100000000010000000000100000000001000000000010000001110110011001000010000111100000000000011111010100111000000000000000011100001111111111111111010000000000000001000101010101101010110010010110101011001001110000000001000000000010000000000100000000001000000111011001100100001000011110000000000001111101010011100000000000000001110000111111111111111101000000000000000100010101010110101011001001011010101100100111000000000100000000001000000000010000000000100000011101100110010000100001111000000000000000001101001110000000000000000111000011111111111111110100000000000000010001010101011010101100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000000110100111000000000000000011100001111111111111111010000000001000001000101010101101010110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000000011010011100000000000000001110000111111111111111101000000000100000100010101010110101011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000000001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010000110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001000011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000100001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010000110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000100011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000010001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001000110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000100011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000110001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011000110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001100011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000110001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000100110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000010011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000001001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000100110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001010011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000101001101001110000000000000000111000001111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010100110100111000000000000000011100000111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001010011010011100000000000000001110000011111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000011001101001110000000000000000111000001111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001100110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000110011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000011001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011100110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001110011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000111001101001110000000000000000111000011111111111111110100000000010000010001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011100110100111000000000000000011100001111111111111111010000000001000001000101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000001011010011100000000000000001110000111111111111111101000000000100000100010101001000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000000101101001110000000000000000111000011111111111111110100000000000000011001010100100000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000010110100111000000000000000011100001111111111111111010000000000000001100101010010000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000001011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000100101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010010110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001001011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000100101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001010110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000101011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000010101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001010110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001101011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000110101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011010110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001101011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000001101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000110110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000011011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000001101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010110110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001011011010011100000000000000001110000011111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000101101101001110000000000000000111000001111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010110110100111000000000000000011100000111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000111011010011100000000000000001110000011111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000011101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001110110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000111011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000111101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011110110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001111011010011100000000000000001110000111111111111111101000000000000000110010101000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000111101101001110000000000000000111000011111111111111110100000000000000011001010100000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000001110100111000000000000000011100001111111111111111010000000000000001100101010000001000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000000111010011100000000000000001110000111111111111111101000000000000010010000000000000100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000000011101001110000000000000000111000011111111111111110100000000000001001000000000000010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000001110100111000000000000000011100001111111111111111010000000000000100100000000110110000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001000111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000100011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010001110100111000000000000000011100001111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001000111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000010011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001001110100111000000000000000011100001111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000100111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000010011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011001110100111000000000000000011100001111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001100111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000110011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011001110100111000000000000000011100001111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000010111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000001011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000101110100111000000000000000011100001111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000010111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000101011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010101110100111000000000000000011100000111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001010111010011100000000000000001110000011111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000101011101001110000000000000000111000001111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001101110100111000000000000000011100000111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000110111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000011011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000001101110100111000000000000000011100001111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001110111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000111011101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000011101110100111000000000000000011100001111111111111111010000000000000100100000000000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001110111010011100000000000000001110000111111111111111101000000000000010010000000000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000000111101001110000000000000000111000011111111111111110100000000000001001000000000000000001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000000011110100111000000000000000011100001111111111111111010000000001000001100101010000000000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000001111010011100000000000000001110000111111111111111101000000000100000110010101000000000011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000000111101001110000000000000000111000011111111111111110100000000010000011001010101111111101100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010011110100111000000000000000011100001111111111111111010000000001000001100101010100101000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000001001111010011100000000000000001110000111111111111111101000000000100000110010101010010100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000100111101001110000000000000000111000011111111111111110100000000010000011001010101001010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000010011110100111000000000000000011100001111111111111111010000000001000001100101010100101000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000000101111010011100000000000000001110000111111111111111101000000000100000110010101010010100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000000010111101001110000000000000000111000011111111111111110100000000010000011001010101001010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101011110100111000000000000000011100001111111111111111010000000001000001100101010100101000110010010110101011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000010101111010011100000000000000001110000111111111111111101000000000100000110010101010010100011001001011010101100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000001110111101001110000000000000000111000011111111111111110100000000010000011001010101001010001100100101101010110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111011110100111000000000000000011100001111111111111111010000000001000001100101010100101000110010010010100011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000011101111010011100000000000000001110000111111111111111101000000000100000110010101010010100011001001001010001100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000001110111101001110000000000000000111000011111111111111110100000000010000011001010101001010001100100100101000110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000100111110100111000000000000000011100001111111111111111010000000001000001100101010100101000110010010010100011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000010011111010011100000000000000001110000111111111111111101000000000100000110010101010010100011001001001010001100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000001001111101001110000000000000000111000011111111111111110100000000010000011001010101001010001100100100101000110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000100111110100111000000000000000011100001111111111111111010000000001000001100101010100101000110010010010100011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000011011111010011100000000000000001110000111111111111111101000000000100000110010101010010100011001001001010001100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000001101111101001110000000000000000111000001111111111111110100000000010000011001010101001010001100100100101000110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000110111110100111000000000000000011100000111111111111111010000000001000001100101010100101000110010010010100011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000011011111010011100000000000000001110000011111111111111101000000000100000110010101010010100011001001001010001100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000001011111101001110000000000000000111000001111111111111110100000000010000011001010101001010001100100100101000110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101111110100111000000001000000000010010001001111111111010000000001000001100101010100101000110010010010100011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000010111111010011100000000100000000001001000100111111111101000000000100000110010101010010100011001001001010001100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000001011111101001110000000010000000000100100010011111111110100000000010000011001010101001010001100100100101000110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111111110100111000000001000000000010010001001111111111010000000001000001100101010100101000110010010010100011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000011111111010011100000000100000000001001000100111111111101000000000100000110010101010010100011001001001010001100100101000000000000000000000000000000000000000000000000011100100101010000100001111000000000001111111101001110000000010000000000011000110001111111110100000000010000011001010101001010001100100100101000110010010100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111111110100111000000001000000000001100011000111111111010000000001000001100101010100101000110010010010100011001001010000000000000000000000000000000000000000000000000111001001010100001000011110000000000010000000110011100000000100000000000110001100011111111101000000000100000110010101010010100011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001000000011001110000000010000000000011000110001111111110100000000000000010101010101001010001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000100000001100111000000001000000000001100011000111111111010000000000000001010101010100101000110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010000000110011100000000100000000000110001100011111111101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001100000011001110000000010000000000011000110001111111110100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000110000001100111000000001000000000001100011000111111111010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011000000110011100000000100000000000100000111111111111101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001100000011001110000000010000000000010000011111111111110100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101000001100111000000001000000000001000001111010101011010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010100000110011100000000100000000000100000111101010101101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001010000011001110000000010000000000010000011110101010110100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101000001100111000000001000000000001000001111010101011010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011100000110011100000000100000000000100000111111111111101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001110000011001110000000010000000000010000011111111111110100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111000001100111000000001000000000010010001001111111111010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011100000110011100000000100000000001001000100111111111101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001001000011001110000000010000000000100100010010010010010100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000100100001100111000000001000000000010010001001000000001010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010010000110011100000000100000000001001000100100000000101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001001000011001110000000010000000000100100010010000000010100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000110100001100111000000001000000000010010001001111111111010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011010000110011100000000100000000001001000100111111111101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001101000011001110000000010000000000100110010011111111110100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000110100001100111000000001000000000010011001001111111111010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010110000110011100000000100000000001001100100100000000101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001011000011001110000000010000000000100110010010000000010100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101100001100111000000001000000000010011001001000000001010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010110000110011100000000100000000001001100100100000000101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001111000011001110000000010000000000100110010011111111110100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111100001100111000000001000000000010011001001111111111010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011110000110011100000000100000001000110001100011111111101000000000000000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001111000011001110000000010000000100011000110001111111110100000000000000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000100010001100111000000001000000010001100011000111111111010000000000000001010101010000000100110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010001000110011100000000100000001000110001100000000000101000000000100000101010101000000010011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001000100011001110000000010000000100011000110000000000010100000000010000010101010100000001001100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000100010001100111000000001000000010001100011000000000001010000000001000001010101010000011110110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011001000110011100000000100000001000110001100011111111101000000000100000101010101000001111011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001100100011001110000000010000000100011000110001111111110100000000010000010101010100000111101100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000110010001100111000000001000000000001000001111111111111010000000001000001010101010000011110110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011001000110011100000000100000000000100000111111111111101000000000100000101010101000001111011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001010100011001110000000010000000000010000011110101010110100000000010000010101010100000111101100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101010001100111000000001000000000001000001111010101011010000000001000001010101010000011110110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010101000110011100000000100000000000100000111101010101101000000000100000101010101000001111011001001001010001100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001010100011001110000000010000000000010000011110101010110100000000010000010101010100000111101100100100101000110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111010001100111000000001000000000001000001111111111111010000000001000001010101010000011110110010010010100011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011101000110011100000000100000000000100000111111111111101000000000100000101010101000001111011001000000111101100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001110100011001110000000010000000000100100000001111111110100000000010000010101010100000111101100100000011110110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111010001100111000000001000000000010010000000111111111010000000001000001010101010000011110110010000001111011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010011000110011100000000100000000001001000000000100100101000000000100000101010101000001111011001000000111101100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001001100011001110000000010000000000100100000000110001110100000000010000010101010100000111101100100000011110110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000100110001100111000000001000000000010010000000011000111010000000001000001010101010000011110110010000001111011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010011000110011100000000100000000001001000000001100011101000000000100000101010101000001111011001000000111101100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001101100011001110000000010000000000100100000001111111110100000000010000010101010100000111101100100000011110110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000110110001100111000000001000000000010010000000111111111010000000001000001010101010000011110110010000001111011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011011000110011100000000100000000001001100000011111111101000000000100000101010101000001111011001000000111101100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001101100011001110000000010000000000100110000001111111110100000000010000010101010100000111101100100000011110110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101110001100111000000001000000000010011000000000000001010000000001000001010101010000011110110010000001111011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000010111000110011100000000100000000001001100000000000000101000000000100000101010101000001111011001000000111101100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001011100011001110000000010000000000100110000000000000010100000000010000010101010100000111101100100000011110110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000101110001100111000000001000000000010011000000000000001010000000001000001010101010000011110110010000001111011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011111000110011100000000100000000001001100000011111111101000000000100000101010101000001111011001000000111101100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001111100011001110000000010000000000100110000001111111110100000000010000010101010100000111101100100000011110110010011100000000000000000000000000000000000000000000000001110010010101000010000111100000000000111110001100111000000001000000000001010011000111111111010000000001000001010101010000011110110010000001111011001001110000000000000000000000000000000000000000000000000111001001010100001000011110000000000011111000110011100000000100000000000101001100011111111101000000000100000101010101000001111011001000000111101100100111000000000000000000000000000000000000000000000000011100100101010000100001111000000000001000000000001110000000010000000000010100110000011111110100000000010000010101010100000111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100000000000111000000001000101000001010011000000000001010000000000000001110101010000011110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110000000000011100000000100010100000101001100000000000101000000000000000111010101000001111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011000000000001110000000010001010000010100110000000000010100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110000000000111000000001000101000001010011000111111111010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111000000000011100000000100010100000101001100011111111101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011100000000001110000000010001010000011000110001111111110100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110000000000111000000001000101000001100011000111111111010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110100000000011100000000100010100000110001100000100100101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011010000000001110000000010001010000011000110000010010010100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101000000000111000000001000101000001100011000001001001010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110100000000011100000000100010100000110001100000100100101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011110000000001110000000010001010000011000110001111111110100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001111000000000111000000001000101000001100011000111111111010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111100000000011100000000100010100000100000111111111111101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011110000000001110000000010001010000010000011111111111110100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100100000000111000000001000101000001000001111010101011010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110010000000011100000000100010100000100000111101010101101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001000000001110000000010001010000010000011110101010110100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100100000000111000000001000101000001000001111010101011010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111010000000011100000000100010100000100000111111111111101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101000000001110000000010001010000010000011111111111110100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110100000000111000000001000101000010010001001111111111010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111010000000011100000000100010100001001000100111111111101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011000000001110000000010001010000100100010010010010010100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101100000000111000000001000101000010010001001000000001010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110110000000011100000000100010100001001000100100000000101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011000000001110000000010001010000100100010010000000010100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001111100000000111000000001000101000010010001001111111111010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111110000000011100000000100010100001001000100111111111101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011111000000001110000000010001010000100110010011111111110100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111100000000111000000001000111100010011001001111111111010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111110001000000011100000000100011110001001100100100000000101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111000100000001110000000010001111000100110010010000000010100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111100010000000111000000001000111100010011001001000000001010000000000000001110101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111110001000000011100000000100011110001001100100100000000101000000000000000111010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111100100000001110000000010001111000100110010011111111110100000000000000011101010101001111101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111110010000000111000000001000111100010011001001111111111010000000000000001000101010100111110110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111111001000000011100000000100011111000110001100011111111101000000000000000100010101010011111011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111100100000001110000000010001111100011000110001111111110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101010000000111000000001000111110001100011000111111111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111110101000000011100000000100011111000110001100000000000101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111010100000001110000000010001111100011000110000000000010100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101010000000111000000001000111110001100011000000000001010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111111101000000011100000000100011111000110001100011111111101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111110100000001110000000010001111100011000110001111111110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111010000000111000000001000111100001000001111111111111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111101000000011100000000100010100000100000111111111111101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001100000001110000000010001010000010000011110101010110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100110000000111000000001000101000001000001111010101011010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110011000000011100000000100010100000100000111101010101101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001100000001110000000010001010000010000011110101010110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110110000000111000000001000101000001000001111111111111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111011000000011100000000100010100000100000111111111111101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101100000001110000000010001010000100100000001111111110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110110000000111000000001000101000010010000000111111111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110111000000011100000000100010100001001000000000100100101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011100000001110000000010001010000100100000000110001110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101110000000111000000001000101000010010000000011000111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111110111000000011100000000100011110001001000000001100011101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111111100000001110000000010001111000100100000001111111110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111110000000111000000001000111100010010000000111111111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111111111000000011100000000100011110001001100000011111111101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111111100000001110000000010001111000100110000001111111110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011111111100001000000111000000001000111100010011000000000000001010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111111110000100000011100000000100011110001001100000000000000101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111111111000010000001110000000010001111000100110000000000000010100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100001000000111000000001000101000010011000000000000001010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111000100000011100000000100010100001001100000011111111101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011100010000001110000000010001010000100110000001111111110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110001000000111000000001000101000001010011000111111111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111000100000011100000000100010100000101001100011111111101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011010010000001110000000010001010000010100110000011111110100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101001000000111000000001000101000001010011000000000001010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110100100000011100000000100010100000101001100000000000101000000000000000100010101010110101011001000000111101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011010010000001110000000010001010000010100110000000000010100000000000000010001010101011010101100100000011110110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001111001000000111000000001000101000001010011000111111111010000000000000001000101010101101010110010000001111011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111100100000011100000000100010100000101001100011111111101000000000000000100010101010110101011001001011010101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011110010000001110000000010001010100010000011111111111110100000000000000010001010101011010101100100101101010110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001111001000000111000000001000101010001000001111111111111010000000000000001000101010101101010110010010110101011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110010100000011100000000100010101000100000111101010101101000000000000000100010101010110101011001001011010101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001010000001110000000010001010100010000011110101010110100000000000000010001010101011010101100100101101010110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100101000000111000000001000101010001000001111010101011010000000000000001000101010101101010110010010110101011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110010100000011100000000100010101000100000111101010101101000000000000000100010101010110101011001001011010101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101010000001110000000010001010100010000011111111111110100000000000000010001010101011010101100100101101010110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110101000000111000000001000101010001000001111111111111010000000000000001000101010101101010110010010110101011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111010100000011100000000100010100001001000000011111111101000000000000000100010101010110101011001001011010101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101010000001110000000010001010000100100000001111111110100000000000000010001010101011010101100100101101010110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101101000000111000000001000101000010010000000001001001010000000000000001000101010101101010110010010110101011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000110110100000011100000000100010100001001000000001100011101000000000000000100010101010110101011001001011010101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011010000001110000000010001010000100100000000110001110100000000000000010001010101011010101100100101101010110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101101000000111000000001000101000010010000000011000111010000000000000001000101010101101010110010010110101011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111110100000011100000000100010100001001000000011111111101000000000000000100010101010110101011001001011010101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011111010000001110000000010001010000100100000001111111110100000000000000010001010101011010101100100101101010110010010100000000010000000000000000000000000000000000000001110010010101000010000111100011110001111101000000111000000001000101000010011000000111111111010000000000000001000101010101101010110010010110101011001001010000000001000000000000000000000000000000000000000111001001010100001000011110001111000111110100000011100000000100010100001001100000011111111101000000000000000100010101010110101011001001011010101100100101000000000100000000000000000000000000000000000000011100100101010000100001111000111100011000110000001110000000010001010000100110000000000000010100000000000000010001010101011010101100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100011000000111000000001000101000010011000000000000001010000000001000001000101010101101010110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110001100000011100000000100010100001001100000000000000101000000000100000100010101010110101011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011000110000001110000000010001010000100110000000000000010100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110011000000111000000001000101000010011000000111111111010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111001100000011100000000100010100001001100000011111111101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011100110000001110000000010001010100010100110001111111110100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111110011000000111000000001000111110001010011000111111111010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110101100000011100000000100011111000101001100000001110101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111010110000001110000000010001111100010100110000000000010100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101011000000111000000001000111110001010011000000000001010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110101100000011100000000100011111000101001100000000000101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111110110000001110000000010001111100010100110001111111110100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111011000000111000000001000111110001010011000111111111010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111101100000011100000000100011111000100000111111111111101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011110110000001110000000010001010100010000011111111111110100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100111000000111000000001000101010001000001111010101011010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110011100000011100000000100010101000100000111101010101101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001110000001110000000010001010100010000011110101010110100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100111000000111000000001000101010001000001111010101011010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111011100000011100000000100010101000100000111111111111101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101110000001110000000010001010100010000011111111111110100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110111000000111000000001000101000010010000000111111111010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111011100000011100000000100010100001001000000011111111101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011110000001110000000010001010000100100000000010010010100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101111000000111000000001000101000010010000000011000111010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110111100000011100000000100010100001001000000001100011101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111011110000001110000000010001111000100100000000110001110100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111111000000111000000001000111100010010000000111111111010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111111100000011100000000100011110001001000000011111111101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111111110000001110000000010001111000100110000001111111110100000000010000010001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111111000000111000000001000111100010011000000111111111010000000001000001000101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110000010000011100000000100011110001001100000000000000101000000000100000100010101001000000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111000001000001110000000010001111000100110000000000000010100000000000000011001010100100000001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111100000100000111000000001000111100010011000000000000001010000000000000001100101010010000000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110000010000011100000000100010100001001100000000000000101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011100001000001110000000010001010000100110000001111111110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110000100000111000000001000101000010011000000111111111010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111000010000011100000000100010100000111001100011111111101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111100001000001110000000010001111000011100110001111111110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101000100000111000000001000111100001110011000000011101010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110100010000011100000000100011110000111001100000000000101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111010001000001110000000010001111000011100110000000000010100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101000100000111000000001000111100001110011000000000001010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111100010000011100000000100011110000111001100011111111101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111110001000001110000000010001111000011100110001111111110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111000100000111000000001000111110001000001111111111111010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111100010000011100000000100010101000100000111111111111101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001001000001110000000010001010100010000011110101010110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100100100000111000000001000101010001000001111010101011010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110010010000011100000000100010101000100000111101010101101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001001000001110000000010001010100010000011110101010110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110100100000111000000001000101010001000001111111111111010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111010010000011100000000100010101000100000111111111111101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101001000001110000000010001010000100100000001111111110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110100100000111000000001000101000010010000000111111111010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110110010000011100000000100010100001001000000000100100101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011001000001110000000010001010000100100000000110001110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101100100000111000000001000101000010010000000011000111010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110110010000011100000000100011110001001000000001100011101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111111001000001110000000010001111000100100000001111111110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111100100000111000000001000111100010010000000111111111010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111110010000011100000000100011110001001100000011111111101000000000000000110010101000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111111001000001110000000010001111000100110000001111111110100000000000000011001010100000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111100010100000111000000001000111100010011000000000000001010000000000000001100101010000001000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110001010000011100000000100011110001001100000000000000101000000000000010010000000000000100011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111000101000001110000000010001111000100110000000000000010100000000000001001000000000000010001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100010100000111000000001000101000010011000000000000001010000000000000100100000000110110000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111001010000011100000000100010100001001100000011111111101000000000000010010000000000001000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011100101000001110000000010001010000100110000001111111110100000000000001001000000000000100001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110010100000111000000001000101010001110011000111111111010000000000000100100000000000010000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111001010000011100000000100011111000111001100011111111101000000000000010010000000000001000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111010101000001110000000010001111100011100110000001110010100000000000001001000000000000100001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101010100000111000000001000111110001110011000000000001010000000000000100100000000000010000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110101010000011100000000100011111000111001100000000000101000000000000010010000000000001000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111010101000001110000000010001111100011100110000000000010100000000000001001000000000000100001100100101101010110010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111010100000111000000001000111110001110011000111111111010000000000000100100000000000010000110010010110101011001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111101010000011100000000100011111000111001100011111111101000001000000010010000000000001000011001001011010101100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111110101000001110000000010001111100010000011111111111110100000100000001001000000000000100001100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001111010100000111000000001000101010001000001111111111111010000010000000100100000000000010000110010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110011010000011100000000100010101000100000111101010101101000001000000010010000000000001000011001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001101000001110000000010001010100010000011110101010110100000100000001001000000000000100001100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100110100000111000000001000101010001000001111010101011010000010000000100100000000000010000110010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110011010000011100000000100010101000100000111101010101101000001000000010010000000000001000011001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101101000001110000000010001010100010000011111111111110100000100000001001000000000000100001100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110110100000111000000001000101010001000001111111111111010000010000000100100000000000010000110010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111011010000011100000000100010100001001000000011111111101000001000000010010000000000001000011001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101101000001110000000010001010000100100000001111111110100000100000001001000000000000100001100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101110100000111000000001000101000010010000000001001001010000010000000100100000000000010000110010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110111010000011100000000100010100001001000000001100011101000001000000010010000000000001000011001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011101000001110000000010001010000100100000000110001110100000100000001001000000000000100001100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101110100000111000000001000111100010010000000011000111010000010000000100100000000000010000110010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111111010000011100000000100011110001001000000011111111101000001000000010010000000000001000011001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111111101000001110000000010001111000100100000001111111110100000100000001001000000000000100001100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111110100000111000000001000111100010011000000111111111010000010000000100100000000000010000110010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111111010000011100000000100011110001001100000011111111101000001000000010010000000000001000011001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111000011000001110000000010001111000100110000000000000010100000100000001001000000000000100001100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111100001100000111000000001000111100010011000000000000001010000010001000001100101010000010000010010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110000110000011100000000100011110001001100000000000000101000001000100000110010101000001000001001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011000011000001110000000010001010000100110000000000000010100000100010000011001010101111111100100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110001100000111000000001000101000010011000000111111111010000010001000001100101010100101000010010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111000110000011100000000100010100001001100000011111111101000001000100000110010101010010100001001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011100011000001110000000010001010000010010110001111111110100000100010000011001010101001010000100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111110001100000111000000001000111100001001011000111111111010000010001000001100101010100101000010010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110100110000011100000000100011110000100101100000010000101000001000100000110010101010010100001001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111010011000001110000000010001111000010010110000000000010100000100010000011001010101001010000100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111101001100000111000000001000111100001001011000000000001010000010001000001100101010100101000010010010110101001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110100110000011100000000100011110000100101100000000000101000001000100000110010101010010100001001001011010100100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111110011000001110000000010001111000010010110001111111110100000100010000011001010101001010000100100101101010010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111001100000111000000001000111100001001011000111111111010000010001000001100101010100101000010010010010100001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111100110000011100000000100011110000110000111111111111101000001000100000110010101010010100001001001001010000100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011110011000001110000000010001010000011000011111111111110100000100010000011001010101001010000100100100101000010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100101100000111000000001000101000001100001111010101111010000010001000001100101010100101000010010010010100001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110010110000011100000000100010100000110000111101010111101000001000100000110010101010010100001001001001010000100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011001011000001110000000010001010000011000011110101011110100000100010000011001010101001010000100100100101000010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001100101100000111000000001000101000001100001111010101111010000010001000001100101010100101000010010010010100001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111010110000011100000000100010100000110000111111111111101000001000100000110010101010010100001001001001010000100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011101011000001110000000010001010000011000011111111111110100000100010000011001010101001010000100100100101000010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001110101100000111000000001000101000010010000000111111111010000010001000001100101010100101000010010010010100001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000111010110000011100000000100010100001001000000011111111101000001000100000110010101010010100001001001001010000100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111100011011011000001110000000010001010000100100000000010010010100000100010000011001010101001010000100100100101000010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011110001101101100000111000000001000101000010010000000011000111010000010001000001100101010100101000010010010010100001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111000110110110000011100000000100010100001001000000001100011101000001000100000110010101010010100001001001001010000100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111011011000001110000000010001111000100100000000110001110100000100010000011001010101001010000100100100101000010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111101100000111000000001000111100010010000000111111111010000010001000001100101010100101000010010010010100001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111111110110000011100000000100011110001001000000011111111101000001000100000110010101010010100001001001001010000100100111000000000100000000000000000000000000000000000000011100100101010000100001111000111111111111011000001110000000010001111000100110000001111111110100000100010000011001010101001010000100100100101000010010011100000000010000000000000000000000000000000000000001110010010101000010000111100011111111111101100000111000000001000111100010011000000111111111010000010001000001100101010100101000010010010010100001001001110000000001000000000000000000000000000000000000000111001001010100001000011110001111111110001110000011100000000100011110001001100000000000000101000001000100000110010101010010100001001001001010000100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111000111000001110000000010001111000100110000000000000010100000100000000010101010101001010000100100100101000010010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111100011100000111000000001000111100010011000000000000001010000010000000001010101010100101000010010010010100001001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000110001110000011100000000100010100001001100000000000000101000001000000000101010101000000010001001001001010000100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011100111000001110000000010001010000100110000001111111110100000100000000010101010100000001000100100100101000010010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110011100000111000000001000101000010011000000111111111010000010000000001010101010000000100010010010010100001001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000111001110000011100000000100010101000100101100011111111101000001000000000101010101000000010001001001001010000100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111100111000001110000000010001111100010010110001111111110100000100000000010101010100000001000100100100101000010010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111101011100000111000000001000111110001001011000001001001010000010000000001010101010000000100010010010010100001001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111110101110000011100000000100011111000100101100000100100101000001000000000101010101000000010001001001001010000100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111010111000001110000000010001111100010010110000010010010100000100000000010101010100000001000100100100101000010010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111101011100000111000000001000111110001001011000001001001010000010000000001010101010000000100010010010010100001001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111111101110000011100000000100011111000100101100011111111101000001000000000101010101000000010001001001001010000100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111110111000001110000000010001111100010010110001111111110100000000000000010101010100000001000100100100101000010010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111111011100000111000000001000111100001100001111111111111010000000000000001010101010000000100010010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000111101110000011100000000100010100000110000111111111111101000000000000000101010101000000010001001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011001111000001110000000010001010000011000011110101011110100000000000000010101010100000001000100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100111100000111000000001000101000001100001111010101111010000000000000001010101010000000100010010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000110011110000011100000000100010100000110000111101010111101000000000000000101010101000000010001001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011001111000001110000000010001010000011000011110101011110100000000000000010101010100000001000100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110111100000111000000001000101000001100001111111111111010000000000000001010101010000000100010010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000111011110000011100000000100010100000110000111111111111101000000000000000101010101000000010001001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011101111000001110000000010001010000100100010011111111110100000000000000010101010100000001000100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110111100000111000000001000101000010010001001111111111010000000000000001010101010000000100010010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000110111110000011100000000100010100001001000100100100100101000000000000000101010101000000010001001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011011111000001110000000010001010000100100010010000000010100000000000000010101010100000001000100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101111100000111000000001000101000010010001001000000001010000000000000001010101010000000100010010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111110111110000011100000000100011110001001000100100000000101000000000000000101010101000000010001001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111111111000001110000000010001111000100100010011111111110100000000000000010101010100000001000100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111111111100000111000000001000111100010010001001111111111010000000000000001010101010000000100010010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111111111110000011100000000100011110001001100100111111111101000000000000000101010101000000010001001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111111111000001110000000010001111000100110010011111111110100000000000000010101010100000001000100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111100000010000111000000001000111100010011001001000000001010000000000000001010101010000000100010010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111110000001000011100000000100011110001001100100100000000101000000000100000101010101000000010011001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111000000100001110000000010001111000100110010010000000010100000000010000010101010100000001001100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100000010000111000000001000101000010011001001000000001010000000001000001010101010000011110110010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000111000001000011100000000100010100001001100100111111111101000000000100000101010101000001111011001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011100000100001110000000010001010000100110010011111111110100000000010000010101010100000111101100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110000010000111000000001000101000001101011000111111111010000000001000001010101010000011110110010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111111000001000011100000000100011110000110101100011111111101000000000100000101010101000001111011001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111010000100001110000000010001111000011010110001100011110100000000010000010101010100000111101100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111101000010000111000000001000111100001101011000001001001010000000001000001010101010000011110110010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111110100001000011100000000100011110000110101100000100100101000000000100000101010101000001111011001001001010001100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111010000100001110000000010001111000011010110000010010010100000000010000010101010100000111101100100100101000110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111111000010000111000000001000111100001101011000111111111010000000001000001010101010000011110110010010010100011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111111100001000011100000000100011110000110101100011111111101000000000100000101010101000001111011001000000111101100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111110000100001110000000010001111000011000011111111111110100000000010000010101010100000111101100100000011110110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001111000010000111000000001000101000001100001111111111111010000000001000001010101010000011110110010000001111011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000110010001000011100000000100010100000110000111101010111101000000000100000101010101000001111011001000000111101100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011001000100001110000000010001010000011000011110101011110100000000010000010101010100000111101100100000011110110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100100010000111000000001000101000001100001111010101111010000000001000001010101010000011110110010000001111011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000110010001000011100000000100010100000110000111101010111101000000000100000101010101000001111011001000000111101100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011101000100001110000000010001010000011000011111111111110100000000010000010101010100000111101100100000011110110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110100010000111000000001000101000001100001111111111111010000000001000001010101010000011110110010000001111011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000111010001000011100000000100010100001001000100111111111101000000000100000101010101000001111011001000000111101100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011101000100001110000000010001010000100100010011111111110100000000010000010101010100000111101100100000011110110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101100010000111000000001000101000010010001001001001001010000000001000001010101010000011110110010000001111011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111000110110001000011100000000100010100001001000100100000000101000000000100000101010101000001111011001000000111101100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111100011011000100001110000000010001010000100100010010000000010100000000010000010101010100000111101100100000011110110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111101100010000111000000001000111100010010001001000000001010000000001000001010101010000011110110010000001111011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111111110001000011100000000100011110001001000100111111111101000000000100000101010101000001111011001000000111101100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111111000100001110000000010001111000100100010011111111110100000000010000010101010100000111101100100000011110110010010100000000000000000000100000000000000000000000000001110010010101000010000111100011111111111100010000111000000001000111100010011001001111111111010000000001000001010101010000011110110010000001111011001001010000000000000000000010000000000000000000000000000111001001010100001000011110001111111111110001000011100000000100011110001001100100111111111101000000000100000101010101000001111011001000000111101100100101000000000000000000001000000000000000000000000000011100100101010000100001111000111111111000100100001110000000010001111000100110010010000000010100000000010000010101010100000111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011111111100010010000111000000001000111100010011001001000000001010000000000000001110101010000011110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111111110001001000011100000000100011110001001100100100000000101000000000000000111010101000001111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011000100100001110000000010001010000100110010010000000010100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110010010000111000000001000101000010011001001111111111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111001001000011100000000100010100001001100100111111111101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011100100100001110000000010001010100011010110001111111110100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110010010000111000000001000101010001101011000111111111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110101001000011100000000100010101000110101100000001111101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011010100100001110000000010001010100011010110000111010010100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101010010000111000000001000101010001101011000011101001010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110101001000011100000000100010101000110101100001110100101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011110100100001110000000010001010100011010110001111111110100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001111010010000111000000001000101010001101011000111111111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111101001000011100000000100010100000110000111111111111101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011110100100001110000000010001010000011000011111111111110100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100110010000111000000001000101000001100001111010101111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110011001000011100000000100010100000110000111101010111101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011001100100001110000000010001010000011000011110101011110100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100110010000111000000001000101000001100001111010101111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111011001000011100000000100010100000110000111111111111101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011101100100001110000000010001010000011000011111111111110100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110110010000111000000001000101000010010011101111111111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111011001000011100000000100010100001001001110111111111101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011011100100001110000000010001010000100100111010010010010100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101110010000111000000001000101000010010011101001111101010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110111001000011100000000100010100001001001110100111110101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011011100100001110000000010001010000100100111010011111010100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001111110010000111000000001000101000010010011101111111111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111111001000011100000000100010100001001001110111111111101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011111100100001110000000010001010000100110111011111111110100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001111110010000111000000001000101000010011011101111111111010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110000101000011100000000100010100001001101110100100000101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011000010100001110000000010001010000100110111010010000010100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100001010000111000000001000101000010011011101001000001010000000000000001110101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110000101000011100000000100010100001001101110100100000101000000000000000111010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011100010100001110000000010001010000100110111011111111110100000000000000011101010101001111101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110001010000111000000001000101000010011011101111111111010000000000000001000101010100111110110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111000101000011100000000100010100000101101100011111111101000000000000000100010101010011111011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011100010100001110000000010001010000010110110001111111110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101001010000111000000001000101000001011011000000111111010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110100101000011100000000100010100000101101100010010100101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011010010100001110000000010001010000010110110001001010010100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101001010000111000000001000101000001011011000100101001010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111100101000011100000000100010100000101101100011111111101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011110010100001110000000010001010000010110110001111111110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001111001010000111000000001000101010001100001111111111111010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111100101000011100000000100010101000110000111111111111101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011001010100001110000000010001010100011000011110101010110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100101010000111000000001000101010001100001111010101011010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110010101000011100000000100010101000110000111101010101101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011001010100001110000000010001010100011000011110101010110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110101010000111000000001000101010001100001111111111111010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111010101000011100000000100010101000110000111111111111101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011101010100001110000000010001010000100101001011111111110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110101010000111000000001000101000010010100101111111111010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110110101000011100000000100010100001001010010100100100101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011011010100001110000000010001010000100101001010000100010100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101101010000111000000001000101000010010100101000010001010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110110101000011100000000100010100001001010010100001000101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011111010100001110000000010001010000100101001011111111110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001111101010000111000000001000101000010010100101111111111010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111110101000011100000000100010100001001110010111111111101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011111010100001110000000010001010000100111001011111111110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100011010000111000000001000101000010011100101000000001010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110001101000011100000000100010100001001110010100000000101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011000110100001110000000010001010000100111001010000000010100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001100011010000111000000001000101000010011100101000000001010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111001101000011100000000100010100001001110010111111111101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011100110100001110000000010001010000100111001011111111110100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001110011010000111000000001000101010001011011000111111111010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000111001101000011100000000100010101000101101100011111111101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111100011010110100001110000000010001010100010110110000000111010100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011110001101011010000111000000001000101010001011011000000000001010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111000110101101000011100000000100010101000101101100000000000101000000000000000100010101010110101011001000000111101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111111111010110100001110000000010001111100010110110000000000010100000000000000010001010101011010101100100000011110110010011100000000000000000000100000000000000000000000000001110010010101000010000111100011111111111011010000111000000001010111110001011011000111111111010000000000000001000101010101101010110010000001111011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001111111111101101000011100000000101011111000101101100011111111101000000000000000100010101010110101011001001011010101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111111111110110100001110000000010101111100011000011111111111110100000000000000010001010101011010101100100101101010110010011100000000000000000000100000000000000000000000000001110010010101000010000111100000000000111011010000111000000001010000010001100001111111111111010000000000000001000101010101101010110010010110101011001001110000000000000000000010000000000000000000000000000111001001010100001000011110000000000010011101000011100000000101000001000110000111101010101101000000000000000100010101010110101011001001011010101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000000000001001110100001110000000010100000100011000011110101010110100000000000000010001010101011010101100100101101010110010011100000000000000000000100000000000000000000000000001110010010101000010000111100000000000100111010000111000000001010000010001100001111010101011010000000000000001000101010101101010110010010110101011001001110000000000000000000010000000000000000000000000000111001001010100001000011110000000000010011101000011100000000101000001000110000111101010101101000000000000000100010101010110101011001001011010101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000000000001101110100001110000000010100000100011000011111111111110100000000000000010001010101011010101100100101101010110010011100000000000000000000100000000000000000000000000001110010010101000010000111100000000000110111010000111000000001010000010001100001111111111111010000000000000001000101010101101010110010010110101011001001110000000000000000000010000000000000000000000000000111001001010100001000011110000000000011011101000011100000000101000000001001000000011111111101000000000000000100010101010110101011001001011010101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000000000001101110100001110000000010100000000100100000001111111110100000000000000010001010101011010101100100101101010110010011100000000000000000000100000000000000000000000000001110010010101000010000111100000000000101111010000111000000001010000000010010000000001001001010000000000000001000101010101101010110010010110101011001001110000000000000000000010000000000000000000000000000111001001010100001000011110000000000010111101000011100000000101000000001001000000001100011101000000000000000100010101010110101011001001011010101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000000000001011110100001110000000010100000000100100000000110001110100000000000000010001010101011010101100100101101010110010011100000000000000000000100000000000000000000000000001110010010101000010000111100000000000101111010000111000000001010000000010010000000011000111010000000000000001000101010101101010110010010110101011001001110000000000000000000010000000000000000000000000000111001001010100001000011110000000000011111101000011100000000101000000001001000000011111111101000000000000000100010101010110101011001001011010101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000000000001111110100001110000000010100000000100100000001111111110100000000000000010001010101011010101100100101101010110010011100000000000000000000100000000000000000000000000001110010010101000010000111100000000000111111010000111000000001010000000010011000000111111111010000000000000001000101010101101010110010010110101011001001110000000000000000000010000000000000000000000000000111001001010100001000011110001110111011111101000011100000000101011100001001100000011111111101000000000000000100010101010110101011001001011010101100100111000000000000000000001000000000000000000000000000011100100101010000100001111000111011101000001100001110000000010101110000100110000000000000010100000000000000010001010101011010101100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011101110100000110000111000000001010111000010011000000000000001010000000001000001000101010101101010110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001110111010000011000011100000000101011100001001100000000000000101000000000100000100010101010110101011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011000001100001110000000010101010000100110000000000000010100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110000110000111000000001010101000010011000000111111111010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111000011000011100000000101010100001001100000011111111101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011100001100001110000000010101010000011110110001111111110100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110000110000111000000001010101000001111011000111111111010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110100011000011100000000101010100000111101100000001110101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011010001100001110000000010101010000011110110000000000010100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101000110000111000000001010101000001111011000000000001010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110100011000011100000000101010100000111101100000000000101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011110001100001110000000010101010000011110110001111111110100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111000110000111000000001010101000001111011000111111111010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111100011000011100000000101010101000110000111111111111101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011110001100001110000000010101010100011000011111111111110100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100100110000111000000001010101010001100001111010101011010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110010011000011100000000101010101000110000111101010101101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001001100001110000000010101010100011000011110101010110100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111100100110000111000000001010111110001100001111010101011010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111111010011000011100000000101011111000110000111111111111101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111101001100001110000000010101111100011000011111111111110100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111110100110000111000000001010111100010010000000111111111010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111010011000011100000000101010100001001000000011111111101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011001100001110000000010101010000100100000000010010010100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101100110000111000000001010101000010010000000011000111010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110110011000011100000000101010100001001000000001100011101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011001100001110000000010101010000100100000000110001110100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111100110000111000000001010101000010010000000111111111010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111110011000011100000000101010100001001000000011111111101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011111001100001110000000010101010000100110000001111111110100000000010000010001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111100110000111000000001010101000010011000000111111111010000000001000001000101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110001011000011100000000101010100001001100000000000000101000000000100000100010101001000000011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011000101100001110000000010101010000100110000000000000010100000000000000011001010100100000001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100010110000111000000001010101000010011000000000000001010000000000000001100101010010000000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110001011000011100000000101010100001001100000000000000101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011100101100001110000000010101010000100110000001111111110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110010110000111000000001010101000010011000000111111111010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111001011000011100000000101010101000111101100011111111101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111100101100001110000000010101111100011110110001111111110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111101010110000111000000001010111110001111011000000111001010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111110101011000011100000000101011111000111101100000100100101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111010101100001110000000010101111100011110110000010010010100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111101010110000111000000001010111110001111011000001001001010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111111101011000011100000000101011111000111101100011111111101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111110101100001110000000010101111100011110110001111111110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111111010110000111000000001010111110001100001111111111111010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111101011000011100000000101010101000110000111111111111101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001101100001110000000010101010100011000011110101010110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100110110000111000000001010101010001100001111010101011010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110011011000011100000000101010101000110000111101010101101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001101100001110000000010101010100011000011110101010110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110110110000111000000001010101010001100001111111111111010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111011011000011100000000101010101000110000111111111111101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011101101100001110000000010101010000100100010011111111110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110110110000111000000001010101000010010001001111111111010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110111011000011100000000101010100001001000100100100100101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011101100001110000000010101010000100100010010000000010100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101110110000111000000001010101000010010001001000000001010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111110111011000011100000000101011110001001000100100000000101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111111101100001110000000010101111000100100010011111111110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111111110110000111000000001010111100010010001001111111111010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111111111011000011100000000101011110001001100100111111111101000000000000000110010101000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111111101100001110000000010101111000100110010011111111110100000000000000011001010100000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111100001110000111000000001010111100010011001001000000001010000000000000001100101010000001000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111110000111000011100000000101011110001001100100100000000101000000000000010010000000000000100011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111000011100001110000000010101111000100110010010000000010100000000000001001000000000000010001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100001110000111000000001010101000010011001001000000001010000000000000100100000000110110000110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111000111000011100000000101010100001001100100111111111101000000000000010010000000000001010011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011100011100001110000000010101010000100110010011111111110100000000000001001000000000000101001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110001110000111000000001010101000001000111000111111111010000000000000100100000000000010100110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111111000111000011100000000101011110000100011100011111111101000000000000010010000000000001010011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111010011100001110000000010101111000010001110001111111110100000000000001001000000000000101001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111101001110000111000000001010111100001000111000001001001010000000000000100100000000000010100110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111110100111000011100000000101011110000100011100000100100101000000000000010010000000000001010011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111010011100001110000000010101111000010001110000010010010100000000000001001000000000000101001100100101101010110010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111111001110000111000000001010111100001000111000111111111010000000000000100100000000000010100110010010110101011001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111111100111000011100000000101011110000100011100011111111101000001010000010010000000000001010011001001011010101100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111110011100001110000000010101111000010100011111111111110100000101000001001000000000000101001100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111001110000111000000001010101000001010001111111111111010000010100000100100000000000010100110010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110010111000011100000000101010100000101000111101010101101000001010000010010000000000001010011001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001011100001110000000010101010000010100011110101010110100000101000001001000000000000101001100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100101110000111000000001010101000001010001111010101011010000010100000100100000000000010100110010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110010111000011100000000101010100000101000111101010101101000001010000010010000000000001010011001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011101011100001110000000010101010000010100011111111111110100000101000001001000000000000101001100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110101110000111000000001010101000001010001111111111111010000010100000100100000000000010100110010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111010111000011100000000101010100001001000100111111111101000001010000010010000000000001010011001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011101011100001110000000010101010000100100010011111111110100000101000001001000000000000101001100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101101110000111000000001010101000010010001001001001001010000010100000100100000000000010100110010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110110111000011100000000101010100001001000100100000000101000001010000010010000000000001010011001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011011100001110000000010101010000100100010010000000010100000101000001001000000000000101001100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111101101110000111000000001010111100010010001001000000001010000010100000100100000000000010100110010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111111110111000011100000000101011110001001000100111111111101000001010000010010000000000001010011001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111111011100001110000000010101111000100100010011111111110100000101000001001000000000000101001100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111111101110000111000000001010111100010011001001111111111010000010100000100100000000000010100110010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111111110111000011100000000101011110001001100100111111111101000001010000010010000000000001010011001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111111111000111100001110000000010101111000100110010010000000010100000101000001001000000000000101001100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011111111100011110000111000000001010111100010011001001000000001010000010101000001100101010000010100010010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111111110001111000011100000000101011110001001100100100000000101000001010100000110010101000001010001001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011000111100001110000000010101010000100110010010000000010100000101010000011001010101111111100100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110011110000111000000001010101000010011001001111111111010000010101000001100101010100101000010010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111001111000011100000000101010100001001100100111111111101000001010100000110010101010010100001001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011100111100001110000000010101010100010001110001111111110100000101010000011001010101001010000100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110011110000111000000001010101010001000111000111111111010000010101000001100101010100101000010010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110101111000011100000000101010101000100011100011111111101000001010100000110010101010010100001001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011010111100001110000000010101010100010001110000010010010100000101010000011001010101001010000100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101011110000111000000001010101010001000111000001001001010000010101000001100101010100101000010010010110101001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110101111000011100000000101010101000100011100000100100101000001010100000110010101010010100001001001011010100100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011110111100001110000000010101010100010001110001111111110100000101010000011001010101001010000100100101101010010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111011110000111000000001010101010001000111000111111111010000010101000001100101010100101000010010010010100001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111101111000011100000000101010100000101000111111111111101000001010100000110010101010010100001001001001010000100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011110111100001110000000010101010000010100011111111111110100000101010000011001010101001010000100100100101000010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100111110000111000000001010101000001010001111010101011010000010101000001100101010100101000010010010010100001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110011111000011100000000101010100000101000111101010101101000001010100000110010101010010100001001001001010000100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001111100001110000000010101010000010100011110101010110100000101010000011001010101001010000100100100101000010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100111110000111000000001010101000001010001111010101011010000010101000001100101010100101000010010010010100001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111011111000011100000000101010100000101000111111111111101000001010100000110010101010010100001001001001010000100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011101111100001110000000010101010000010100011111111111110100000101010000011001010101001010000100100100101000010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110111110000111000000001010101000010010001001111111111010000010101000001100101010100101000010010010010100001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111011111000011100000000101010100001001000100111111111101000001010100000110010101010010100001001001001010000100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011111100001110000000010101010000100100010010010010010100000101010000011001010101001010000100100100101000010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101111110000111000000001010101000010010001001000000001010000010101000001100101010100101000010010010010100001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110111111000011100000000101010100001001000100100000000101000001010100000110010101010010100001001001001010000100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011111100001110000000010101010000100100010010000000010100000101010000011001010101001010000100100100101000010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111111110000111000000001010101000010010001001111111111010000010101000001100101010100101000010010010010100001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000111111111000011100000000101010100001001000100111111111101000001010100000110010101010010100001001001001010000100100101000000000100000000001000000000000000000000000000011100100101010000100001111000111100011111111100001110000000010101010000100110010011111111110100000101010000011001010101001010000100100100101000010010010100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111111110000111000000001010101000010011001001111111111010000010101000001100101010100101000010010010010100001001001010000000001000000000010000000000000000000000000000111001001010100001000011110001111000110000000100011100000000101010100001001100100100000000101000001010100000110010101010010100001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011000000010001110000000010101010000100110010010000000010100000101000000010101010101001010000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100000001000111000000001010101000010011001001000000001010000010100000001010101010100101000010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110000000100011100000000101010100001001100100100000000101000001010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011100000010001110000000010101010000100110010011111111110100000101000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110000001000111000000001010101000010011001001111111111010000010100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111000000100011100000000101010100000110011100011111111101000001010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011100000010001110000000010101010000011001110001111111110100000101000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101000001000111000000001010101000001100111000111111111010000010100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110100000100011100000000101010100000110011100000100100101000001010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011010000010001110000000010101010000011001110000010010010100000101000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101000001000111000000001010101000001100111000001001001010000010100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111100000100011100000000101010100000110011100011111111101000001010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011110000010001110000000010101010000011001110001111111110100000001000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111000001000111000000001010101000001010001111111111111010000000100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111100000100011100000000101010100000101000111111111111101000000010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001000010001110000000010101010000010100011110101010110100000001000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100100001000111000000001010101000001010001111010101011010000000100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110010000100011100000000101010100000101000111101010101101000000010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001000010001110000000010101010000010100011110101010110100000001000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110100001000111000000001010101000001010001111111111111010000000100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111010000100011100000000101010100000101000111111111111101000000010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011101000010001110000000010101010000100100010011111111110100000001000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110100001000111000000001010101000010010001001111111111010000000100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110110000100011100000000101010100001001000100100100100101000000010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011000010001110000000010101010000100100010010000000010100000001000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101100001000111000000001010101000010010001001000000001010000000100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110110000100011100000000101010100001001000100100000000101000000010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011111000010001110000000010101010000100100010011111111110100000001000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111100001000111000000001010101000010010001001111111111010000000100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111110000100011100000000101010100001001100100111111111101000000010000000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011111000010001110000000010101010000100110010011111111110100000001000000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100010001000111000000001010101000010011001001000000001010000000100000001010101010000000100010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110001000100011100000000101010100001001100100100000000101000000010100000101010101000000010001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011000100010001110000000010101010000100110010010000000010100000001010000010101010100000001000100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100010001000111000000001010101000010011001001000000001010000000101000001010101010000011110010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111001000100011100000000101010100001001100100111111111101000000010100000101010101000001111001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011100100010001110000000010101010000100110010011111111110100000001010000010101010100000111100100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110010001000111000000001010101010001100111000111111111010000000101000001010101010000011110010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111001000100011100000000101010101000110011100011111111101000000010100000101010101000001111001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011010100010001110000000010101010100011001110001111100010100000001010000010101010100000111100100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101010001000111000000001010101010001100111000100000001010000000101000001010101010000011110010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110101000100011100000000101010101000110011100010000000101000000010100000101010101000001111001001001001010000100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011010100010001110000000010101010100011001110001000000010100000001010000010101010100000111100100100100101000010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111010001000111000000001010101010001100111000111111111010000000101000001010101010000011110010010010010100001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111101000100011100000000101010101000110011100011111111101000000010100000101010101000001111001001000000111100100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011110100010001110000000010101010000010100011111111111110100000001010000010101010100000111100100100000011110010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111010001000111000000001010101000001010001111111111111010000000101000001010101010000011110010010000001111001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110011000100011100000000101010100000101000111101010101101000000010100000101010101000001111001001000000111100100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011001100010001110000000010101010000010100011110101010110100000001010000010101010100000111100100100000011110010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001100110001000111000000001010101000001010001111010101011010000000101000001010101010000011110010010000001111001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110011000100011100000000101010100000101000111101010101101000000010100000101010101000001111001001000000111100100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011101100010001110000000010101010000010100011111111111110100000001010000010101010100000111100100100000011110010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001110110001000111000000001010101000001010001111111111111010000000101000001010101010000011110010010000001111001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111011000100011100000000101010100001001010000011111111101000000010100000101010101000001111001001000000111100100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011101100010001110000000010101010000100101000001111111110100000001010000010101010100000111100100100000011110010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101110001000111000000001010101000010010100000001001001010000000101000001010101010000011110010010000001111001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000110111000100011100000000101010100001001010000000011000101000000010100000101010101000001111001001000000111100100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011011100010001110000000010101010000100101000000001100010100000001010000010101010100000111100100100000011110010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001101110001000111000000001010101000010010100000000110001010000000101000001010101010000011110010010000001111001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111111000100011100000000101010100001001010000011111111101000000010100000101010101000001111001001000000111100100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011111100010001110000000010101010000100101000001111111110100000001010000010101010100000111100100100000011110010010011100000000010000000000100000000000000000000000000001110010010101000010000111100011110001111110001000111000000001010101000010011100000111111111010000000101000001010101010000011110010010000001111001001001110000000001000000000010000000000000000000000000000111001001010100001000011110001111000111111000100011100000000101010100001001110000011111111101000000010100000101010101000001111001001000000111100100100111000000000100000000001000000000000000000000000000011100100101010000100001111000111100011000010010001110000000010101010000100111000000000000010100000001010000010101010100000111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100001001000111000000001010101000010011100000000000001010000000100000001110101010000011110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110000100100011100000000101010100001001110000000000000101000000010000000111010101000001111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011000010010001110000000010101010000100111000000000000010100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001110001001000111000000001010101000010011100000111111111010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111000100100011100000000101010100001001110000011111111101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011100010010001110000000010101010000010101110001111111110100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001110001001000111000000001010101000001010111000111111111010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110100100100011100000000101010100000101011100000000000101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011010010010001110000000010101010000010101110000001010010100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001101001001000111000000001010101000001010111000000101001010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110100100100011100000000101010100000101011100000010100101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011110010010001110000000010101010000010101110001111111110100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111001001000111000000001010101000001010111000111111111010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111100100100011100000000101010101000101000111111111111101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011110010010001110000000010101010100010100011111111111110100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100101001000111000000001010101010001010001111010101011010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110010100100011100000000101010101000101000111101010101101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011001010010001110000000010101010100010100011110101010110100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100101001000111000000001010101010001010001111010101011010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111010100100011100000000101010101000101000111111111111101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011101010010001110000000010101010100010100011111111111110100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001110101001000111000000001010101000010010000101111111111010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111010100100011100000000101010100001001000010111111111101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011011010010001110000000010101010000100100001010010010010100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001101101001000111000000001010101000010010000101011111101010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110110100100011100000000101010100001001000010101111110101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011011010010001110000000010101010000100100001010111111010100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111101001000111000000001010101000010010000101111111111010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111110100100011100000000101010100001001000010111111111101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011111010010001110000000010101010000100110001011111111110100000001000000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111101001000111000000001010101000010011000101111111111010000000100000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110001100100011100000000101010100001001100010100000000101000000010000000111010101010011111001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011000110010001110000000010101010000100110001010000000010100000001010000011101010101001111100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100011001000111000000001010101000010011000101000000001010000000101000001110101010100111110010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110001100100011100000000101010100001001100010100000000101000000010100000111010101000000101001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011100110010001110000000010101010000100110001011111111110100000001010000011101010100000010100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001110011001000111000000001010101000010011000101111111111010000000101000001110101010000001010010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111001100100011100000000101010101000101011100011111111101000000010100000111010101000000101001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011100110010001110000000010101010100010101110001111111110100000001010000011101010100000010100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001101011001000111000000001010101010001010111000000000001010000000101000001110101010000001010010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110101100100011100000000101010101000101011100010000000101000000010100000111010101000000101001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011010110010001110000000010101010100010101110001000000010100000001010000011101010100000010100100100000011110010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001101011001000111000000001010101010001010111000100000001010000000101000001110101010000001010010010000001111001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111101100100011100000000101010101000101011100011111111101000000010100000111010101000000101001001000000111100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011110110010001110000000010101010100010101110001111111110100000001010000011101010100000010100100100000001010010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111011001000111000000001010101010001010001111111111111010000000101000001110101010000001010010010000000101001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111101100100011100000000101010101000101000111111111111101000000010100000111010101000000101001001000000010100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011001110010001110000000010101010100010100011110101010110100000001010000011101010100000010100100100000001010010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100111001000111000000001010101010001010001111010101011010000000101000001110101010000001010010010000000101001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110011100100011100000000101010101000101000111101010101101000000010100000111010101000000101001001000000010100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111111111001110010001110000000010101111100010100011110101010110100000001010000011101010100000010100100100000001010010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011111111110111001000111000000001010111110001010001111111111111010000000101000001110101010000001010010010000000101001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111111111011100100011100000000101011111000101000111111111111101000000010100000111010101000000101001001000000010100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111111111101110010001110000000010101111000100101000001111111110100000001010000011101010100000010100100100000001010010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011111111110111001000111000000001010111100010010100000111111111010000000101000001110101010000001010010010000000101001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111111110111100100011100000000101011110001001010000000100100101000000010100000111010101000000101001001000000010100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111111111011110010001110000000010101111000100101000000001100010100000001010000011101010100000010100100100000001010010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011111111101111001000111000000001010111100010010100000000110001010000000101000001110101010000001010010010000000101001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000110111100100011100000000101010100001001010000000011000101000000010100000111010101000000101001001000000010100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011111110010001110000000010101010000100101000001111111110100000001010000011101010100000010100100100000001010010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111111001000111000000001010101000010010100000111111111010000000101000001110101010000001010010010000000101001001001010000000000000000000000000000000100000000000000000111001001010100001000011110001111000111111100100011100000000101010100001001110000011111111101000000010100000111010101000000101001001000000010100100100101000000000000000000000000000000010000000000000000011100100101010000100001111000111100011111110010001110000000010101010000100111000001111111110100000001010000011101010100000010100100100000001010010010010100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100000101000111000000001010101000010011100000000000001010000000101000001110101010000001010010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000110000010100011100000000101010100001001110000000000000101000000010000000100110101000000101001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011000001010001110000000010101010000100111000000000000010100000001000000010011010100000010100100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100000101000111000000001010101000010011100000000000001010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000111000010100011100000000101010100001001110000011111111101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011100001010001110000000010101010000100111000001111111110100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001110000101000111000000001010101000001110111000111111111010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000111000010100011100000000101010100000111011100011111111101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011010001010001110000000010101010000011101110000000000010100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001101000101000111000000001010101000001110111000001001001010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000110100010100011100000000101010100000111011100000100100101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111010001010001110000000010101111000011101110000010010010100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111111000101000111000000001010111100001110111000111111111010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111111100010100011100000000101011110000111011100011111111101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111110001010001110000000010101111100010100011111111111110100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111111000101000111000000001010111110001010001111111111111010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111110010010100011100000000101011111000101000111101010101101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111001001010001110000000010101111100010100011110101010110100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111100100101000111000000001010111110001010001111010101011010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111110010010100011100000000101011111000101000111101010101101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111101001010001110000000010101111100010100011111111111110100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111110100101000111000000001010111110001010001111111111111010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111111010010100011100000000101011110001001000100111111111101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111101001010001110000000010101111000100100010011111111110100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111101100101000111000000001010111100010010001001001001001010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111110110010100011100000000101011110001001000100100000000101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111011001010001110000000010101111000100100010010000000010100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111101100101000111000000001010111100010010001001000000001010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111111110010100011100000000101011110001001000100111111111101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111111001010001110000000010101111000100100010011111111110100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111111100101000111000000001010111100010011001001111111111010000000100000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111111110010100011100000000101011110001001100100111111111101000000010000000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111000101010001110000000010101111000100110010010000000010100000001000000010011010100010100000100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111100010101000111000000001010111100010011001001000000001010000000101000001001101010001010000010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111110001010100011100000000101011110001001100100100000000101000000010100000100110101000101000001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011000101010001110000000010101010000100110010010000000010100000001010000010011010100001000100100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001110010101000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000111001010100011100000000101010100001001100100111111111101000000010100000100110101000010001001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011100101010001110000000010101010100011101110001111111110100000001010000010011010100001000100100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001110010101000111000000001010101010001110111000111111111010000000101000001001101010000100010010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000110101010100011100000000101010101000111011100000000000101000000010100000100110101000010001001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011010101010001110000000010101010100011101110000010010010100000001010000010011010100001000100100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001101010101000111000000001010101010001110111000001001001010000000101000001001101010000100010010010000000101001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000110101010100011100000000101010101000111011100000100100101000000010100000100110101000010001001001000000010100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011110101010001110000000010101010100011101110001111111110100000001010000010011010100001000100100100000001010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111010101000111000000001010101010001110111000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000111101010100011100000000101010101000101000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011110101010001110000000010101010100010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001100110101000111000000001010101010001010001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000110011010100011100000000101010101000101000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011001101010001110000000010101010100010100011110101010110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111100110101000111000000001010111110001010001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111111011010100011100000000101011111000101000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111101101010001110000000010101111100010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111110110101000111000000001010111100010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111111011010100011100000000101011110001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111111111011101010001110000000010101111000100100010010010010010100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011111111101110101000111000000001010111100010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111111110111010100011100000000101011110001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011011101010001110000000010101010000100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111110101000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000111111010100011100000000101010100001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011100100101010000100001111000111100011111101010001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110010010101000010000111100011110001111110101000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111001001010100001000011110001111000110000110100011100000000101010100001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011000011010001110000000010101010000100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100001101000111000000001010101000010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110000110100011100000000101010100001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011100011010001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110001101000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111000110100011100000000101010100000100111100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011100011010001110000000010101010000010011110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101001101000111000000001010101000001001111000111110001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110100110100011100000000101010100000100111100000100100101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011010011010001110000000010101010000010011110000010010010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101001101000111000000001010101000001001111000001001001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111100110100011100000000101010100000100111100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011110011010001110000000010101010000010011110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111001101000111000000001010101000001110001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111100110100011100000000101010100000111000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011001011010001110000000010101010000011100011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100101101000111000000001010101000001110001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110010110100011100000000101010100000111000111101010101101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011001011010001110000000010101010000011100011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110101101000111000000001010101000001110001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111010110100011100000000101010100000111000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011101011010001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110101101000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110110110100011100000000101010100001001000100100100100101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011011011010001110000000010101010000100100010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101101101000111000000001010101000010010001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110110110100011100000000101010100001001000100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011111011010001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111101101000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111110110100011100000000101010100001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011111011010001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100011101000111000000001010101000010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110001110100011100000000101010100001001100100100000000101000000010000000110110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011000111010001110000000010101010000100110010010000000010100000001000000011011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100011101000111000000001010101000010011001001000000001010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111001110100011100000000101010100001001100100111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011100111010001110000000010101010000100110010011111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110011101000111000000001010101010001001111000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111001110100011100000000101010101000100111100011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011010111010001110000000010101010100010011110001111100010100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101011101000111000000001010101010001001111000001001001010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110101110100011100000000101010101000100111100000100100101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011010111010001110000000010101010100010011110000010010010100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111011101000111000000001010101010001001111000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111101110100011100000000101010101000100111100011111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011110111010001110000000010101010000011100011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111011101000111000000001010101000001110001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110011110100011100000000101010100000111000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011001111010001110000000010101010000011100011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100111101000111000000001010101000001110001111010101011010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110011110100011100000000101010100000111000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011101111010001110000000010101010000011100011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110111101000111000000001010101000001110001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111011110100011100000000101010100001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011101111010001110000000010101010000100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101111101000111000000001010101000010010001001001001001010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000110111110100011100000000101010100001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011011111010001110000000010101010000100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101111101000111000000001010101000010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111111110100011100000000101010100001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011111111010001110000000010101010000100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111111101000111000000001010101000010011001001111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111001001010100001000011110001111000111111110100011100000000101010100001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011100100101010000100001111000111100011000000110001110000000010101010000100110010010000000010100000001000000011011010100000101100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100000011000111000000001010101000010011001001000000001010000000101000001101101010000010110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110000001100011100000000101010100001001100100100000000101000000010100000110110101000001011001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011000000110001110000000010101010000100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110000011000111000000001010101000010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111000001100011100000000101010100001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011100000110001110000000010101010000011011110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110000011000111000000001010101000001101111000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110100001100011100000000101010100000110111100011111000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011010000110001110000000010101010000011011110000010010010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101000011000111000000001010101000001101111000001001001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110100001100011100000000101010100000110111100000100100101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011110000110001110000000010101010000011011110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111000011000111000000001010101000001101111000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111100001100011100000000101010100000111000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011110000110001110000000010101010000011100011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100100011000111000000001010101000001110001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110010001100011100000000101010100000111000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011001000110001110000000010101010000011100011110101010110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100100011000111000000001010101000001110001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111010001100011100000000101010100000111000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011101000110001110000000010101010000011100011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110100011000111000000001010101000010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111010001100011100000000101010100001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011011000110001110000000010101010000100100010010010010010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101100011000111000000001010101000010010001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110110001100011100000000101010100001001000100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011011000110001110000000010101010000100100010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111100011000111000000001010101000010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111110001100011100000000101010100001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011111000110001110000000010101010000100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111100011000111000000001010101000010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110001001100011100000000101010100001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011000100110001110000000010101010000100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100010011000111000000001010101000010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110001001100011100000000101010100001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011100100110001110000000010101010000100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110010011000111000000001010101000010011001001111111111010000000101000001001101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111001001100011100000000101010101000110111100011111111101000000010100000100110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011100100110001110000000010101010100011011110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101010011000111000000001010101010001101111000111110001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110101001100011100000000101010101000110111100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011010100110001110000000010101010100011011110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101010011000111000000001010101010001101111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111101001100011100000000101010101000110111100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011110100110001110000000010101010100011011110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111010011000111000000001010101000001110001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111101001100011100000000101010100000111000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011001100110001110000000010101010000011100011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100110011000111000000001010101000001110001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110011001100011100000000101010100000111000111101010101101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011001100110001110000000010101010000011100011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110110011000111000000001010101000001110001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111011001100011100000000101010100000111000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011101100110001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110110011000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110111001100011100000000101010100001001000100100100100101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011011100110001110000000010101010000100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101110011000111000000001010101000010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110111001100011100000000101010100001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011111100110001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111110011000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111111001100011100000000101010100001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011111100110001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100001011000111000000001010101000010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110000101100011100000000101010100001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011000010110001110000000010101010000100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100001011000111000000001010101000010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111000101100011100000000101010100001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011100010110001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110001011000111000000001010101000001011111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111000101100011100000000101010100000101111100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011010010110001110000000010101010000010111110000000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101001011000111000000001010101000001011111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110100101100011100000000101010100000101111100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011010010110001110000000010101010000010111110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111001011000111000000001010101000001011111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111100101100011100000000101010100000101111100011111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011110010110001110000000010101010100011100011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111001011000111000000001010101010001110001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110010101100011100000000101010101000111000111101010101101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011001010110001110000000010101010100011100011110101010110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001100101011000111000000001010101010001110001111010101011010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110010101100011100000000101010101000111000111101010101101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011101010110001110000000010101010100011100011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001110101011000111000000001010101010001110001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111010101100011100000000101010100001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011101010110001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101101011000111000000001010101000010010001001001001001010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000110110101100011100000000101010100001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011011010110001110000000010101010000100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001101101011000111000000001010101000010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111110101100011100000000101010100001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011111010110001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110010010101000010000111100011110001111101011000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111001001010100001000011110001111000111110101100011100000000101010100001001100100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011100100101010000100001111000111100011000110110001110000000010101010000100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100011011000111000000001010101000010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110001101100011100000000101010100001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011000110110001110000000010101010000100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110011011000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111001101100011100000000101010100001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011100110110001110000000010101010100010111110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110011011000111000000001010101010001011111000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110101101100011100000000101010101000101111100000000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011010110110001110000000010101010100010111110000010010010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101011011000111000000001010101010001011111000001001001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110101101100011100000000101010101000101111100000100100101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011110110110001110000000010101010100010111110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111011011000111000000001010101010001011111000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111101101100011100000000101010101000111000111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011110110110001110000000010101010100011100011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100111011000111000000001010101010001110001111010101011010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110011101100011100000000101010101000111000111101010101101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011001110110001110000000010101010100011100011110101010110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100111011000111000000001010101010001110001111010101011010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111011101100011100000000101010101000111000111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011101110110001110000000010101010100011100011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110111011000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111011101100011100000000101010100001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011011110110001110000000010101010000100100010010010010010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101111011000111000000001010101000010010001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110111101100011100000000101010100001001000100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011011110110001110000000010101010000100100010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111111011000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111111101100011100000000101010100001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011111110110001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111111011000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110000011100011100000000101010100001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011000001110001110000000010101010000100110010010000000010100000001000000011011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100000111000111000000001010101000010011001001000000001010000000100000001101101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110000011100011100000000101010100001001100100100000000101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011100001110001110000000010101010000100110010011111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110000111000111000000001010101000010011001001111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111000011100011100000000101010100000111111100011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011100001110001110000000010101010000011111110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101000111000111000000001010101000001111111000000000001010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110100011100011100000000101010100000111111100000100100101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011010001110001110000000010101010000011111110000010010010100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101000111000111000000001010101000001111111000001001001010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111100011100011100000000101010100000111111100011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011110001110001110000000010101010000011111110001111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111000111000111000000001010101010001110001111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111100011100011100000000101010101000111000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011001001110001110000000010101010100011100011110101010110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100100111000111000000001010101010001110001111010101011010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110010011100011100000000101010101000111000111101010101101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011001001110001110000000010101010100011100011110101010110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110100111000111000000001010101010001110001111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111010011100011100000000101010101000111000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011101001110001110000000010101010000100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110100111000111000000001010101000010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110110011100011100000000101010100001001000100100100100101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011011001110001110000000010101010000100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101100111000111000000001010101000010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000110110011100011100000000101010100001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011111001110001110000000010101010000100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111100111000111000000001010101000010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111001001010100001000011110001111000111110011100011100000000101010100001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011100100101010000100001111000111100011111001110001110000000010101010000100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100010111000111000000001010101000010011001001000000001010000000100000001101101010000010110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110001011100011100000000101010100001001100100100000000101000000010100000110110101000001011001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011000101110001110000000010101010000100110010010000000010100000001010000011011010100000101100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100010111000111000000001010101000010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111001011100011100000000101010100001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011100101110001110000000010101010000100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110010111000111000000001010101010001111111000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111001011100011100000000101010101000111111100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011010101110001110000000010101010100011111110000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101010111000111000000001010101010001111111000001001001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110101011100011100000000101010101000111111100000100100101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011010101110001110000000010101010100011111110000010010010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111010111000111000000001010101010001111111000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111101011100011100000000101010101000111111100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011110101110001110000000010101010100011100011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111010111000111000000001010101010001110001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110011011100011100000000101010101000111000111101010101101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011001101110001110000000010101010100011100011110101010110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100110111000111000000001010101010001110001111010101011010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110011011100011100000000101010101000111000111101010101101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011101101110001110000000010101010100011100011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110110111000111000000001010101010001110001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111011011100011100000000101010100001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011101101110001110000000010101010000100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101110111000111000000001010101000010010001001001001001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110111011100011100000000101010100001001000100100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011011101110001110000000010101010000100100010010000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101110111000111000000001010101000010010001001000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111111011100011100000000101010100001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011111101110001110000000010101010000100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111110111000111000000001010101000010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111111011100011100000000101010100001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011000011110001110000000010101010000100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100001111000111000000001010101000010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110000111100011100000000101010100001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011000011110001110000000010101010000100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110001111000111000000001010101000010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111000111100011100000000101010100001001100100111111111101000000010100000100110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011100011110001110000000010101010010010000110001111111110100000001010000010011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110001111000111000000001010101001001000011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110100111100011100000000101010100100100001100011100000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011010011110001110000000010101010010010000110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101001111000111000000001010101001001000011000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110100111100011100000000101010100100100001100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011110011110001110000000010101010010010000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111001111000111000000001010101001001000011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111100111100011100000000101010100100100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011110011110001110000000010101010010010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100101111000111000000001010101001001000001111000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110010111100011100000000101010100100100000111100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011001011110001110000000010101010010010000011110000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100101111000111000000001010101001001000001111000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111010111100011100000000101010100100100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011101011110001110000000010101010010010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110101111000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111010111100011100000000101010100001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011011011110001110000000010101010000100100010010010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101101111000111000000001010101000010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110110111100011100000000101010100001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011011011110001110000000010101010000100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111101111000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111110111100011100000000101010100001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011111011110001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111101111000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110001111100011100000000101010100001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011000111110001110000000010101010000100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100011111000111000000001010101000010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110001111100011100000000101010100001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011100111110001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110011111000111000000001010101000010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111001111100011100000000101010101100100001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011100111110001110000000010101010110010000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101011111000111000000001010101011001000011000111000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110101111100011100000000101010101100100001100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011010111110001110000000010101010110010000110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101011111000111000000001010101011001000011000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111101111100011100000000101010101100100001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011110111110001110000000010101010110010000110001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111011111000111000000001010101001001000001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111101111100011100000000101010100100100000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011001111110001110000000010101010010010000011110000000010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100111111000111000000001010101001001000001111000000001010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110011111100011100000000101010100100100000111100000000101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011001111110001110000000010101010010010000011110000000010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110111111000111000000001010101001001000001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111011111100011100000000101010100100100000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011101111110001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001110111111000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110111111100011100000000101010100001001000100100100100101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011011111110001110000000010101010000100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001101111111000111000000001010101000010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000110111111100011100000000101010100001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011111111110001110000000010101010000100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001111111111000111000000001010101000010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111001001010100001000011110001111000111111111100011100000000101010100001001100100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011100100101010000100001111000111100011111111110001110000000010101010000100110010011111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110010010101000010000111100011110001100000000100111000000001010101000010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010000000010011100000000101000000001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001000000001001110000000010100000000100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100000000100111000000001010000000010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011000000010011100000000101000000001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001100000001001110000000010100000000100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110000000100111000000001010000000010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011000000010011100000000101000000001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001010000001001110000000010100000000100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101000000100111000000001010000000010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010100000010011100000000101000000001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001010000001001110000000010100000000100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111000000100111000000001010000000010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011100000010011100000000101000000001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001110000001001110000000010100000000100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111000000100111000000001010000000010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010010000010011100000000101000000001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001001000001001110000000010100000000100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100100000100111000000001010000000010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010010000010011100000000101000000001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001101000001001110000000010100000000100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110100000100111000000001010000011100010111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011010000010011100000000101000001110001011111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001101000001001110000000010100000111000101111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101100000100111000000001010000011100010111111001111101010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010110000010011100000000101000001110001011111100111110101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001011000001001110000000010100000111000101111110011111010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101100000100111000000001010000011100010111111001111101010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011110000010011100000000101000001110001011111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001111000001001110000000010100000111000111111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111100000100111000000001010000011100011111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011110000010011100000000101000001110001111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001000100001001110000000010100000111000111111110010000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100010000100111000000001010000011100011111111001000001010000000100000001101101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010001000010011100000000101000001110001111111100100000101000000010000000110110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001000100001001110000000010100000111000111111110010000010100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110010000100111000000001010000011100011111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011001000010011100000000101000001110001111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001100100001001110000000010100000111000111111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110010000100111000000001010000011100011111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010101000010011100000000101000001110001111111100100000101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001010100001001110000000010100000111000111111110010000010100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101010000100111000000001010000011100011111111001000001010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010101000010011100000000101000001110001111111100100000101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001110100001001110000000010100000111000111111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111010000100111000000001010000011100011111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011101000010011100000000101000001110001111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001110100001001110000000010100000111000111111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100110000100111000000001010000011100011111111001000001010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010011000010011100000000101000001110001111111100100000101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001001100001001110000000010100000111000111111110010000010100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100110000100111000000001010000011100011111111001000001010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011011000010011100000000101000001110001111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001101100001001110000000010100000011001001111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110110000100111000000001010000001100100111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011011000010011100000000101000000110010011111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001011100001001110000000010100000011001001111110000000010100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101110000100111000000001010000001100100111111000000001010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010111000010011100000000101000000110010011111100000000101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001011100001001110000000010100000011001001111110000000010100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111110000100111000000001010000001100100111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000011111000010011100000000101000000110010111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011100100101010000100001111000000000001111100001001110000000010100000011001011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111110000100111000000001010000001100101111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111001001010100001000011110000000000010000100010011100000000101000000110010111111100000000101000000010000000110110101000001011001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001000010001001110000000010100000011001011111110000000010100000001010000011011010100000101100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100001000100111000000001010000001100101111111000000001010000000101000001101101010000010110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010000100010011100000000101000000110010111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001100010001001110000000010100000011001011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110001000100111000000001010000001100101111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011000100010011100000000101000000110010111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001100010001001110000000010100000011001011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101001000100111000000001010000001100101111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010100100010011100000000101000000110010111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001010010001001110000000010100000011001011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101001000100111000000001010000001100101111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011100100010011100000000101000000110010111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001110010001001110000000010100000011001011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111001000100111000000001010000001100101111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011100100010011100000000101000000110010111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001001010001001110000000010100000011001011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100101000100111000000001010000001100101111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010010100010011100000000101000000110010111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001001010001001110000000010100000011001011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110101000100111000000001010000001100101111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011010100010011100000000101000001110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001101010001001110000000010100000111000001111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110101000100111000000001010000011100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010110100010011100000000101000001110000011111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001011010001001110000000010100000111000001111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101101000100111000000001010000011100000111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010110100010011100000000101000001110000011111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001111010001001110000000010100000111000001111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111101000100111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011110100010011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001111010001001110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100011000100111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010001100010011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001000110001001110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100011000100111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011001100010011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001100110001001110000000010100000111000011111111111111110100000001010000010011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110011000100111000000001010000011100001111111111111111010000000101000001001101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011001100010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001010110001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101011000100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010101100010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001010110001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111011000100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011101100010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001110110001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111011000100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010011100010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001001110001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100111000100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010011100010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001101110001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110111000100111000000001010000011100000111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011011100010011100000000101000001110000011111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001101110001001110000000010100000111000001111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101111000100111000000001010000011100000111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010111100010011100000000101000001110000011111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001011110001001110000000010100000111000001111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101111000100111000000001010000011100000111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011111100010011100000000101000001110000011111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001111110001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111111000100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011111100010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001000001001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100000100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010000010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001000001001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110000100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011000010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001100001001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110000100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010100010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001010001001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101000100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010100010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001110001001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111000100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011100010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001110001001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100100100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010010010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001001001001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000100100100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011010010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001101001001001110000000010100000111000001111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000110100100100111000000001010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011010010010011100000000101000001110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001011001001001110000000010100000111000001111110000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000101100100100111000000001010000011100000111111000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010110010010011100000000101000001110000011111100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001011001001001110000000010100000111000001111110000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111100100100111000000001010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000011110010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011100100101010000100001111000000000001111001001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110010010101000010000111100000000000111100100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111001001010100001000011110000000000010001010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001000101001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100010100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010001010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001100101001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110010100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011001010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001100101001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101010100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010101010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001010101001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101010100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011101010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001110101001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111010100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011101010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001001101001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100110100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010011010010011100000000101000001110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001001101001001110000000010100000111000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110110100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011011010010011100000000101000001110000011111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001101101001001110000000010100000111000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110110100100111000000001010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010111010010011100000000101000001110000011111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001011101001001110000000010100000111000001111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101110100100111000000001010000011100000111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010111010010011100000000101000001110000011111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001111101001001110000000010100000111000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111110100100111000000001010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011111010010011100000000101000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001111101001001110000000010100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100001100100111000000001010000011100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010000110010011100000000101000001110000111111100000000101000000010000000110110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001000011001001110000000010100000111000011111110000000010100000001000000011011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100001100100111000000001010000011100001111111000000001010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011000110010011100000000101000001110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001100011001001110000000010100000111000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110001100100111000000001010000011100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011000110010011100000000101000001110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001010011001001110000000010100000111000011111110000000010100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101001100100111000000001010000011100001111111000000001010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010100110010011100000000101000001110000111111100000000101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001010011001001110000000010100000111000011111110000000010100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111001100100111000000001010000011100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011100110010011100000000101000001110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001110011001001110000000010100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111001100100111000000001010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010010110010011100000000101000001110000111111100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001001011001001110000000010100000111000011111110000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100101100100111000000001010000011100001111111000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010010110010011100000000101000001110000111111100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001101011001001110000000010100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110101100100111000000001010000011100000111111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011010110010011100000000101000001110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001101011001001110000000010100000111000001111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101101100100111000000001010000011100000111111000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000010110110010011100000000101000001110000011111100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001011011001001110000000010100000111000001111110000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101101100100111000000001010000011100000111111000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011110110010011100000000101000001110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001111011001001110000000010100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111101100100111000000001010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000001000000111001001010100001000011110000000000011110110010011100000000101000001110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000100000011100100101010000100001111000000000001000111001001110000000010100000111000011111110000000010100000001000000011011010100000101100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100011100100111000000001010000011100001111111000000001010000000101000001101101010000010110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010001110010011100000000101000001110000111111100000000101000000010100000110110101000001011001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001000111001001110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110011100100111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011001110010011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001100111001001110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110011100100111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010101110010011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001010111001001110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101011100100111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010101110010011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001110111001001110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111011100100111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011101110010011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001110111001001110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100111100100111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010011110010011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001001111001001110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100111100100111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011011110010011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001101111001001110000000010100000111000001111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110111100100111000000001010000011100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011011110010011100000000101000001110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001011111001001110000000010100000111000001111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101111100100111000000001010000011100000111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010111110010011100000000101000001110000011111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001011111001001110000000010100000111000001111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111111100100111000000001010000011100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011111110010011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001111111001001110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111111100100111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010000001010011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001000000101001110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100000010100111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010000001010011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001100000101001110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110000010100111000000000010000001100111111111111111111010000000101000001001101010101111110010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011000001010011100000000001000000110011111111111111111101000000010100000100110101010111111001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001100000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101000010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010100001010011100000000001000000110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001010000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101000010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011100001010011100000000001000000110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001110000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111000010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011100001010011100000000001000000110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001001000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100100010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010010001010011100000000001000000110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001001000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110100010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011010001010011100000000001000000110011011111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001101000101001110000000000100000011001101111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110100010100111000000000010000001100110111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010110001010011100000000001000000110011011111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001011000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101100010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010110001010011100000000001000000110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001111000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000111100010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011110001010011100000000001000000110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001111000101001110000000000100000011001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100010010100111000000000010000001100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000010001001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001000100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000100010010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011001001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001100100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000110010010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000011001001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000001010100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000101010010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000000101001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000010100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000011010010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000001101001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000110100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000011010010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000000011001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000001100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000000110010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000000011001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000101100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000010110010100111000000000010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000001011001010011100000000001000001110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000101100101001110000000000100000111000001111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000001110010100111000000000010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000000111001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000011100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000001110010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000001111001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000111100101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000010000001110010010101000010000111100000000000011110010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000001000000111001001010100001000011110000000000001111001010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000100000011100100101010000100001111000000000000000010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000001010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000000101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000000010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010001010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001000101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000100010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010001010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000100101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000010010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001001010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000100101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000110010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011001010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001100101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000110010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000101010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000010101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000001010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000101010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001010101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000101010101001110000000000100000111000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010101010100111000000000010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001010101010011100000000001000001110000011111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000011010101001110000000000100000111000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001101010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000110101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000011010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011101010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001110101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000111010101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011101010100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000001101010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000000110101001110000000000100000111000011111111111111110100000001000000011011010100001000100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000011010100111000000000010000011100001111111111111111010000000100000001101101010000100010010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000001101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000100110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010011010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001001101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000100110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001011010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000101101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000010110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001011010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001101101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000110110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011011010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001101101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000001110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000111010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000011101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000001110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010111010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001011101010011100000000001000001110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000101110101001110000000000100000111000001111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010111010100111000000000010000011100000111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000111101010011100000000001000001110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000011110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001111010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000000111101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000111110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011111010100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000001000000111001001010100001000011110000000000001111101010011100000000001000001110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000100000011100100101010000100001111000000000000111110101001110000000000100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000000110100111000000000010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000000011010011100000000001000001110000111111111111111101000000010100000110110101000001011001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000000001101001110000000000100000111000011111111111111110100000001010000011011010100000101100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000000110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001000011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000100001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010000110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001000011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000010001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001000110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000100011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000010001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011000110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001100011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000110001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011000110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000010011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000001001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000100110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000010011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000101001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010100110100111000000000010000011100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001010011010011100000000001000001110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000101001101001110000000000100000111000001111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001100110100111000000000010000011100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000110011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000011001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001100110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001110011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000111001101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011100110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001110011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000000101101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000010110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000001011010011100000000001000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000000101101001110000000000100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010010110100111000000000010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001001011010011100000000001000001110000111111111111111101000000010100000100110101010111111001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000100101101001110000000000100000111000011111111111111110100000001010000010011010101011111100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010010110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000101011010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000010101101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001010110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000101011010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000110101101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011010110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001101011010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000110101101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000110110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000011011010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000001101101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000110110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001011011010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000101101101001110000000000100000111000001111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010110110100111000000000010000011100000111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001011011010011100000000001000001110000011111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000011101101001110000000000100000111000001111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001110110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000111011010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000011101101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011110110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001111011010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000111101101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011110110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000000111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000000011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000001110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000000111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000100011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010001110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001000111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000100011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001001110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000100111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000010011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001001110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001100111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000110011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011001110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001100111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000001011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000101110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000010111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000001011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010101110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001010111010011100000000001000001110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000101011101001110000000000100000111000001111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000010101110100111000000000010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000110111010011100000000001000001110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000011011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000001101110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000000110111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000111011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000011101110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000001000000111001001010100001000011110000000000001110111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000100000011100100101010000100001111000000000000111011101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000010000001110010010101000010000111100000000000000011110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000000001111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000000000111101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000000011110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000001001111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000000100111101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000010011110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000001001111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000000010111101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000001011110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010101111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001010111101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111011110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011101111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001110111101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111011110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010011111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001001111101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000100111110100111000000000010000011100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010011111010011100000000001000001110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001101111101001110000000000100000111000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000110111110100111000000000010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011011111010011100000000001000001110000011111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001101111101001110000000000100000111000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000101111110100111000000000010000011100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010111111010011100000000101000000001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001011111101001110000000010100000000100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000101111110100111000000001010000000010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011111111010011100000000101000000001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001111111101001110000000010100000000100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111111110100111000000001010000000001100011000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011111111010011100000000101000000000110001100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001000000011001110000000010100000000011000110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000100000001100111000000001010000000001100011000111111111010000000100000001101101010000100010010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010000000110011100000000101000000000110001100011111111101000000010000000110110101000010001001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001000000011001110000000010100000000011000110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000110000001100111000000001010000000001100011000111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011000000110011100000000101000000000110001100011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001100000011001110000000010100000000010000011111111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000110000001100111000000001010000000001000001111111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010100000110011100000000101000000000100000111101010101101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001010000011001110000000010100000000010000011110101010110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000101000001100111000000001010000000001000001111010101011010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010100000110011100000000101000000000100000111101010101101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001110000011001110000000010100000000010000011111111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111000001100111000000001010000000001000001111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011100000110011100000000101000001001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001110000011001110000000010100000100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000100100001100111000000001010000010010010001001001001001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010010000110011100000000101000001001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001001000011001110000000010100000100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000100100001100111000000001010000010010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011010000110011100000000101000001001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001101000011001110000000010100000100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000110100001100111000000001010000010010011001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011010000110011100000000101000001001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001011000011001110000000010100000100100110010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000101100001100111000000001010000010010011001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010110000110011100000000101000001001001100100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001011000011001110000000010100000100100110010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111100001100111000000001010000010010011001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000011110000110011100000000101000001001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000100000011100100101010000100001111000000000001111000011001110000000010100000100011000110001111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111100001100111000000001010000010001100011000111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000001000000111001001010100001000011110000000000010001000110011100000000101000001000110001100011111111101000000010000000110110101000001011001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001000100011001110000000010100000100011000110000000000010100000001010000011011010100000101100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000100010001100111000000001010000010001100011000000000001010000000101000001101101010000010110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000010001000110011100000000101000001000110001100000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001100100011001110000000010100000100011000110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000110010001100111000000001010000010001100011000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000011001000110011100000000101000000000100000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001100100011001110000000010100000000010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000101010001100111000000001010000000001000001111010101011010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000010101000110011100000000101000000000100000111101010101101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001010100011001110000000010100000000010000011110101010110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000101010001100111000000001010000000001000001111010101011010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000011101000110011100000000101000000000100000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001110100011001110000000010100000000010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111010001100111000000001010000010010010000000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000011101000110011100000000101000001001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001001100011001110000000010100000100100100000000010010010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000100110001100111000000001010000010010010000000001001101010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000010011000110011100000000101000001001001000000000100110101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001001100011001110000000010100000100100100000000010011010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000110110001100111000000001010000010010010000000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000011011000110011100000000101000001001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001101100011001110000000010100000100100110000001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000110110001100111000000001010000010010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000010111000110011100000000101000001001001100000000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001011100011001110000000010100000100100110000000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000101110001100111000000001010000010010011000000000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000010111000110011100000000101000001001001100000000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001111100011001110000000010100000100100110000001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000111110001100111000000001010000010010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110000000000011111000110011100000000101000000000101001100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000000000001111100011001110000000010100000000010100110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100000000000100000000010111000000001010000000001010011000001111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110000000001011100000000101010100000101001100000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011000000000101110000000010101010000010100110000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001100000000010111000000001010101000001010011000000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111000000001011100000000101010100000101001100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011100000000101110000000010101010000010100110001111111110100000001010000010011010101011111100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001110000000010111000000001010101000001100011000111111111010000000101000001001101010101111110010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111000000001011100000000101010100000110001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011010000000101110000000010101010000011000110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001101000000010111000000001010101000001100011000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110100000001011100000000101010100000110001100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011010000000101110000000010101010000011000110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001111000000010111000000001010101000001100011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111100000001011100000000101010100000110001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011110000000101110000000010101010000010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001111000000010111000000001010101000001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110010000001011100000000101010100000100000111101010101101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011001000000101110000000010101010000010000011110101010110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001100100000010111000000001010101000001000001111010101011010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110010000001011100000000101010100000100000111101010101101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011101000000101110000000010101010000010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001110100000010111000000001010101000001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111010000001011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011101000000101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001101100000010111000000001010101010010010001001001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110110000001011100000000101010101001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011011000000101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001101100000010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111110000001011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011111000000101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001111100000010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111110000001011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011000100000101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001100010000010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110001000001011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111111111000100000101110000000010101111100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011111111110010000010111000000001010111110010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111111111001000001011100000000101011111001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111111111100100000101110000000010101111100011000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001110010000010111000000001010101010001100011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110101000001011100000000101010101000110001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011010100000101110000000010101010100011000110000000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001101010000010111000000001010101010001100011000000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111111110101000001011100000000101011111000110001100000000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111111111110100000101110000000010101111100011000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011111111111010000010111000000001010111110001100011000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111111111101000001011100000000101011110000100000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111111111110100000101110000000010101111000010000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011111111100110000010111000000001010111100001000001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111111110011000001011100000000101011110000100000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111111111001100000101110000000010101111000010000011110101010110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001100110000010111000000001010101000001000001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111011000001011100000000101010100000100000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011101100000101110000000010101010000010000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001110110000010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000111011000001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111100011011100000101110000000010101010100100100000000010010010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001101110000010111000000001010101010010010000000001001101010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110111000001011100000000101010101001001000000000100110101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111111111011100000101110000000010101111100100100000000010011010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011111111111110000010111000000001010111110010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111111111111000001011100000000101011111001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000100000011100100101010000100001111000111111111111100000101110000000010101111100100110000001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000010000001110010010101000010000111100011110001111110000010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000001000000111001001010100001000011110001111000110000100001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011000010000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100001000010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110000100001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011100010000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110001000010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111000100001011100000000101010100000101001100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011100010000101110000000010101010000010100110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101001000010111000000001010101000001010011000001111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110100100001011100000000101010100000101001100000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011010010000101110000000010101010000010100110000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101001000010111000000001010101000001010011000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111100100001011100000000101010100000101001100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011110010000101110000000010101010000010100110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001111001000010111000000001010101010001000001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111100100001011100000000101010101000100000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011001010000101110000000010101010100010000011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100101000010111000000001010101010001000001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110010100001011100000000101010101000100000111101010101101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011001010000101110000000010101010100010000011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110101000010111000000001010101010001000001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111010100001011100000000101010101000100000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011101010000101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110101000010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110110100001011100000000101010101001001000000000100100101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011011010000101110000000010101010100100100000000010011010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101101000010111000000001010101010010010000000001001101010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110110100001011100000000101010101001001000000000100110101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011111010000101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001111101000010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111110100001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011111010000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100011000010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110001100001011100000000101010101001001100000000000000101000000010000000110110101000010001001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011000110000101110000000010101010100100110000000000000010100000001000000011011010100001000100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100011000010111000000001010101010010011000000000000001010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111001100001011100000000101010101001001100000011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011100110000101110000000010101010100100110000001111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110011000010111000000001010101010001010011000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111001100001011100000000101010101000101001100011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011010110000101110000000010101010100010100110000000111010100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101011000010111000000001010101010001010011000000000001010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110101100001011100000000101010101000101001100000000000101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111111111010110000101110000000010101111100010100110000000000010100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111011000010111000000001010111110001010011000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111111111101100001011100000000101011111000101001100011111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111111111110110000101110000000010101111100010000011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111011000010111000000001010111110001000001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111111110011100001011100000000101011111000100000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111111111001110000101110000000010101111100010000011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011111111100111000010111000000001010111110001000001111010101011010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110011100001011100000000101010101000100000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011101110000101110000000010101010100010000011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110111000010111000000001010101010001000001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111011100001011100000000101010101001001000000011111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011101110000101110000000010101010100100100000001111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101111000010111000000001010101010010010000000001001001010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000110111100001011100000000101010101001001000000000100110101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011011110000101110000000010101010100100100000000010011010100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011111111101111000010111000000001010111110010010000000001001101010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111111111111100001011100000000101011111001001000000011111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111111111111110000101110000000010101111100100100000001111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111111000010111000000001010111110010011000000111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000001000000111001001010100001000011110001111000111111100001011100000000101010101001001100000011111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000100000011100100101010000100001111000111100011000001000101110000000010101010100100110000000000000010100000001000000011011010100000101100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100000100010111000000001010101010010011000000000000001010000000101000001101101010000010110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110000010001011100000000101010101001001100000000000000101000000010100000110110101000001011001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011000001000101110000000010101010100100110000000000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110000100010111000000001010101010010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111000010001011100000000101010101001001100000011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011100001000101110000000010101010000011100110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110000100010111000000001010101000001110011000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110100010001011100000000101010100000111001100000001110101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011010001000101110000000010101010000011100110000000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101000100010111000000001010101000001110011000000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111110100010001011100000000101011110000111001100000000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111110001000101110000000010101111000011100110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111000100010111000000001010111100001110011000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111111100010001011100000000101011111000100000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111110001000101110000000010101111100010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111100100100010111000000001010111110001000001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111110010010001011100000000101011111000100000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111001001000101110000000010101111100010000011110101010110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100100100010111000000001010101010001000001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111010010001011100000000101010101000100000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011101001000101110000000010101010100010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110100100010111000000001010101010010010000000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111010010001011100000000101010101001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011011001000101110000000010101010100100100000000010010010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101100100010111000000001010101010010010000000001001101010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110110010001011100000000101010101001001000000000100110101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111011001000101110000000010101111100100100000000010011010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111100100010111000000001010111110010010000000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111111110010001011100000000101011111001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111111001000101110000000010101111100100110000001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001111100100010111000000001010101010010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110001010001011100000000101010101001001100000000000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011000101000101110000000010101010100100110000000000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100010100010111000000001010101010010011000000000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110001010001011100000000101010101001001100000000000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011100101000101110000000010101010100100110000001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110010100010111000000001010101010010011000000111111111010000000101000001001101010101111110010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111001010001011100000000101010101000111001100011111111101000000010100000100110101010111111001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011100101000101110000000010101010100011100110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101010100010111000000001010101010001110011000000111001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110101010001011100000000101010101000111001100000000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011010101000101110000000010101010100011100110000000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111101010100010111000000001010111110001110011000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111111101010001011100000000101011111000111001100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111110101000101110000000010101111100011100110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111010100010111000000001010111110001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111111101010001011100000000101011111000100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111001101000101110000000010101111100010000011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111100110100010111000000001010111110001000001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111110011010001011100000000101011111000100000111101010101101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011001101000101110000000010101010100010000011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110110100010111000000001010101010001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111011010001011100000000101010101000100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011101101000101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110110100010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110111010001011100000000101010101001001000000000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011011101000101110000000010101010100100100000000010011010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101110100010111000000001010101010010010000000001001101010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111110111010001011100000000101011111001001000000000100110101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111111101000101110000000010101111100100100000001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111110100010111000000001010111110010010000000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111111111010001011100000000101011111001001100000011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011111101000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100001100010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110000110001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011000011000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001100001100010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111000110001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011100011000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110001100010111000000001010101000001001011000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111000110001011100000000101010100000100101100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011010011000101110000000010101010000010010110000001000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101001100010111000000001010101000001001011000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110100110001011100000000101010100000100101100000000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111010011000101110000000010101111000010010110000000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111001100010111000000001010111100001001011000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111111100110001011100000000101011110000100101100011111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111110011000101110000000010101111000011000011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111001100010111000000001010111100001100001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111110010110001011100000000101011110000110000111101010111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111001011000101110000000010101111000011000011110101011110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111100101100010111000000001010111100001100001111010101111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110010110001011100000000101010100000110000111101010111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011101011000101110000000010101010000011000011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001110101100010111000000001010101000001100001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111010110001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011101011000101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011110001101101100010111000000001010101010010010000000001001001010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000110110110001011100000000101010101001001000000000100110101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011011011000101110000000010101010100100100000000010011010100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111101101100010111000000001010111110010010000000001001101010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111111111110110001011100000000101011111001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111111111111011000101110000000010101111100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000010000001110010010101000010000111100011111111111101100010111000000001010111110010011000000111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000001000000111001001010100001000011110001111000111110110001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000100000011100100101010000100001111000111100011000111000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100011100010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000110001110001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011000111000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110011100010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000111001110001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011100111000101110000000010101010100010010110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110011100010111000000001010101010001001011000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000110101110001011100000000101010101000100101100000100100101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011010111000101110000000010101010100010010110000010010010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101011100010111000000001010101010001001011000001001001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111110101110001011100000000101011111000100101100000100100101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111110111000101110000000010101111100010010110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011111111111011100010111000000001010111110001001011000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111111101110001011100000000101011110000110000111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111110111000101110000000010101111000011000011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011111111100111100010111000000001010111100001100001111010101111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111110011110001011100000000101011110000110000111101010111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111001111000101110000000010101111000011000011110101011110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100111100010111000000001010101000001100001111010101111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000111011110001011100000000101010100000110000111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011101111000101110000000010101010000011000011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110111100010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000111011110001011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011011111000101110000000010101010100100100010010010010010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101111100010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000110111110001011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111011111000101110000000010101111100100100010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011111111111111100010111000000001010111110010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111111111110001011100000000101011111001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111111111000101110000000010101111100100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001111111100010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000110000001001011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011000000100101110000000010101010100100110010010000000010100000001000000011011010100001000100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100000010010111000000001010101010010011001001000000001010000000100000001101101010000100010010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000110000001001011100000000101010101001001100100100000000101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011100000100101110000000010101010100100110010011111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110000010010111000000001010101010010011001001111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000111000001001011100000000101010100000110101100011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011100000100101110000000010101010000011010110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101000010010111000000001010101000001101011000110001111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000110100001001011100000000101010100000110101100000100100101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011010000100101110000000010101010000011010110000010010010100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011111111101000010010111000000001010111100001101011000001001001010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111111100001001011100000000101011110000110101100011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111110000100101110000000010101111000011010110001111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011111111111000010010111000000001010111100001100001111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111111100001001011100000000101011110000110000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111001000100101110000000010101111000011000011110101011110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011111111100100010010111000000001010111100001100001111010101111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111110010001001011100000000101011110000110000111101010111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011001000100101110000000010101010000011000011110101011110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110100010010111000000001010101000001100001111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000111010001001011100000000101010100000110000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011101000100101110000000010101010100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110100010010111000000001010101010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111000110110001001011100000000101010101001001000100100100100101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011011000100101110000000010101010100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101100010010111000000001010101010010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111110110001001011100000000101011111001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111111111111000100101110000000010101111100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011111111111100010010111000000001010111110010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000001000000111001001010100001000011110001111111111110001001011100000000101011111001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000100000011100100101010000100001111000111100011111000100101110000000010101010100100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100010010010111000000001010101010010011001001000000001010000000100000001101101010000010110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110001001001011100000000101010101001001100100100000000101000000010100000110110101000001011001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011000100100101110000000010101010100100110010010000000010100000001010000011011010100000101100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100010010010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111001001001011100000000101010101001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011100100100101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110010010010111000000001010101010001101011000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111001001001011100000000101010101000110101100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011010100100101110000000010101010100011010110000000111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101010010010111000000001010101010001101011000011101001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110101001001011100000000101010101000110101100001110100101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011010100100101110000000010101010100011010110000111010010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001111010010010111000000001010101010001101011000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111101001001011100000000101010101000110101100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011110100100101110000000010101010000011000011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001111010010010111000000001010101000001100001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110011001001011100000000101010100000110000111101010111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011001100100101110000000010101010000011000011110101011110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100110010010111000000001010101000001100001111010101111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110011001001011100000000101010100000110000111101010111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011101100100101110000000010101010000011000011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110110010010111000000001010101000001100001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111011001001011100000000101010101001001001110111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011101100100101110000000010101010100100100111011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101110010010111000000001010101010010010011101001001001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110111001001011100000000101010101001001001110100111110101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011011100100101110000000010101010100100100111010011111010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101110010010111000000001010101010010010011101001111101010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111111001001011100000000101010101001001001110111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011111100100101110000000010101010100100100111011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001111110010010111000000001010101010010011011101111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111111001001011100000000101010101001001101110111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011000010100101110000000010101010100100110111010010000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100001010010111000000001010101010010011011101001000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110000101001011100000000101010101001001101110100100000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011000010100101110000000010101010100100110111010010000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110001010010111000000001010101010010011011101111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111000101001011100000000101010101001001101110111111111101000000010100000100110101010111111001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011100010100101110000000010101010000010110110001111111110100000001010000010011010101011111100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110001010010111000000001010101000001011011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110100101001011100000000101010100000101101100000011111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011010010100101110000000010101010000010110110001001010010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101001010010111000000001010101000001011011000100101001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110100101001011100000000101010100000101101100010010100101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011110010100101110000000010101010000010110110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001111001010010111000000001010101000001011011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111100101001011100000000101010101000110000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011110010100101110000000010101010100011000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100101010010111000000001010101010001100001111010101011010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110010101001011100000000101010101000110000111101010101101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011001010100101110000000010101010100011000011110101010110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100101010010111000000001010101010001100001111010101011010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111010101001011100000000101010101000110000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011101010100101110000000010101010100011000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110101010010111000000001010101010010010100101111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111010101001011100000000101010101001001010010111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011011010100101110000000010101010100100101001010010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101101010010111000000001010101010010010100101000101001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110110101001011100000000101010101001001010010100010100101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011011010100101110000000010101010100100101001010001010010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001111101010010111000000001010101010010010100101111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111110101001011100000000101010101001001010010111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011111010100101110000000010101010100100111001011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001111101010010111000000001010101010010011100101111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110001101001011100000000101010101001001110010100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011000110100101110000000010101010100100111001010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001100011010010111000000001010101010010011100101000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110001101001011100000000101010101001001110010100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011100110100101110000000010101010100100111001011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001110011010010111000000001010101010010011100101111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000111001101001011100000000101010101000101101100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011100110100101110000000010101010100010110110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011110001101011010010111000000001010101010001011011000000011101010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111000110101101001011100000000101010101000101101100000000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111100011010110100101110000000010101010100010110110000000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011111111101011010010111000000001010111110001011011000000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000001000000111001001010100001000011110001111111111101101001011100000000101011111000101101100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111111111110110100101110000000010101111100010110110001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011111111111011010010111000000001010111110001100001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000001000000111001001010100001000011110000000000011101101001011100000000101000001000110000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000000000001001110100101110000000010100000100011000011110101010110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000010000001110010010101000010000111100000000000100111010010111000000001010000010001100001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000001000000111001001010100001000011110000000000010011101001011100000000101000001000110000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000000000001001110100101110000000010100000100011000011110101010110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000010000001110010010101000010000111100000000000110111010010111000000001010000010001100001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000001000000111001001010100001000011110000000000011011101001011100000000101000001000110000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000000000001101110100101110000000010100000100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000010000001110010010101000010000111100000000000110111010010111000000001010000010010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000001000000111001001010100001000011110000000000010111101001011100000000101000001001001000000000100100101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000000000001011110100101110000000010100000100100100000000010011010100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000010000001110010010101000010000111100000000000101111010010111000000001010000010010010000000001001101010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000001000000111001001010100001000011110000000000010111101001011100000000101000001001001000000000100110101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000000000001111110100101110000000010100000100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000010000001110010010101000010000111100000000000111111010010111000000001010000010010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000001000000111001001010100001000011110000000000011111101001011100000000101000001001001100000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000100000011100100101010000100001111000111011101111110100101110000000010101110100100110000001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000010000001110010010101000010000111100011101110100000110010111000000001010111010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001110111010000011001011100000000101011101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111011101000001100101110000000010101110100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100000110010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111000011001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011100001100101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110000110010111000000001010101000001111011000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111000011001011100000000101010100000111101100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011010001100101110000000010101010000011110110000000111010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101000110010111000000001010101000001111011000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000110100011001011100000000101010100000111101100000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011010001100101110000000010101010000011110110000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111000110010111000000001010101000001111011000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111100011001011100000000101010100000111101100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011110001100101110000000010101010100011000011111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011111111111000110010111000000001010111110001100001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111111110010011001011100000000101011111000110000111101010101101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111001001100101110000000010101111100011000011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011111111100100110010111000000001010111110001100001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000110010011001011100000000101010101000110000111101010101101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011101001100101110000000010101010100011000011111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110100110010111000000001010101010001100001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111010011001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111101001100101110000000010101111100100100000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011111111101100110010111000000001010111110010010000000001001001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111111110110011001011100000000101011111001001000000000100110101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111011001100101110000000010101111100100100000000010011010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101100110010111000000001010101010010010000000001001101010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111110011001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011111001100101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111100110010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111110011001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011000101100101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100010110010111000000001010101010010011000000000000001010000000100000001101101010000100010010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000110001011001011100000000101010101001001100000000000000101000000010000000110110101000010001001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011000101100101110000000010101010100100110000000000000010100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110010110010111000000001010101010010011000000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111001011001011100000000101010101001001100000011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011100101100101110000000010101010100011110110001111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110010110010111000000001010101010001111011000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000110101011001011100000000101010101000111101100000011100101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011010101100101110000000010101010100011110110000010010010100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101010110010111000000001010101010001111011000001001001010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111111110101011001011100000000101011111000111101100000100100101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111110101100101110000000010101111100011110110001111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011111111111010110010111000000001010111110001111011000111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111111111101011001011100000000101011111000110000111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111110101100101110000000010101111100011000011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011111111100110110010111000000001010111110001100001111010101011010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111111110011011001011100000000101011111000110000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111001101100101110000000010101111100011000011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100110110010111000000001010101010001100001111010101011010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111011011001011100000000101010101000110000111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011101101100101110000000010101010100011000011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110110110010111000000001010101010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000111011011001011100000000101010101001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111100011011101100101110000000010101010100100100010010010010010100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101110110010111000000001010101010010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000110111011001011100000000101010101001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111011101100101110000000010101111100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011111111111110110010111000000001010111110010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111111111111011001011100000000101011111001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000100000011100100101010000100001111000111111111111101100101110000000010101111100100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111110110010111000000001010101010010011001001111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000001000000111001001010100001000011110001111000110000111001011100000000101010101001001100100100000000101000000010000000110110101000001011001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011000011100101110000000010101010100100110010010000000010100000001010000011011010100000101100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100001110010111000000001010101010010011001001000000001010000000101000001101101010000010110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110000111001011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011100011100101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110001110010111000000001010101010010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111000111001011100000000101010100000100011100011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011100011100101110000000010101010000010001110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101001110010111000000001010101000001000111000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110100111001011100000000101010100000100011100000100100101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011010011100101110000000010101010000010001110000010010010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011111111101001110010111000000001010111100001000111000001001001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111111111100111001011100000000101011110000100011100011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111111111110011100101110000000010101111000010001110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011111111111001110010111000000001010111100001010001111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111111111100111001011100000000101011110000101000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111111111001011100101110000000010101111000010100011110101010110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011111111100101110010111000000001010111100001010001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111111110010111001011100000000101011110000101000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011001011100101110000000010101010000010100011110101010110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110101110010111000000001010101000001010001111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111010111001011100000000101010100000101000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011101011100101110000000010101010100100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110101110010111000000001010101010010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110110111001011100000000101010101001001000100100100100101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011011011100101110000000010101010100100100010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101101110010111000000001010101010010010001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111111110110111001011100000000101011111001001000100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111111111111011100101110000000010101111100100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011111111111101110010111000000001010111110010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111111111110111001011100000000101011111001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011111011100101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100011110010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110001111001011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011000111100101110000000010101010100100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100011110010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111001111001011100000000101010101001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011100111100101110000000010101010100100110010011111111110100000001010000010011010101011111100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110011110010111000000001010101010001000111000111111111010000000101000001001101010101111110010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111001111001011100000000101010101000100011100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011010111100101110000000010101010100010001110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101011110010111000000001010101010001000111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110101111001011100000000101010101000100011100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011010111100101110000000010101010100010001110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111011110010111000000001010101010001000111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111101111001011100000000101010101000100011100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011110111100101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111011110010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110011111001011100000000101010100000101000111101010101101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011001111100101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100111110010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110011111001011100000000101010100000101000111101010101101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011101111100101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110111110010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111011111001011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011101111100101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101111110010111000000001010101010010010001001001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110111111001011100000000101010101001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011011111100101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101111110010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111111111001011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011111111100101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111111110010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111111111001011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011000000010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100000001010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110000000101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011000000010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110000001010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111000000101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011100000010101110000000010101010000011001110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110000001010111000000001010101000001100111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110100000101011100000000101010100000110011100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011010000010101110000000010101010000011001110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101000001010111000000001010101000001100111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110100000101011100000000101010100000110011100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011110000010101110000000010101010000011001110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111000001010111000000001010101000001100111000111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111100000101011100000000101010100000101000111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011110000010101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100100001010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110010000101011100000000101010100000101000111101010101101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011001000010101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001100100001010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111010000101011100000000101010100000101000111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011101000010101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001110100001010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111010000101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011011000010101110000000010101010100100100010010010010010100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001101100001010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110110000101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011011000010101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111100001010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000111110000101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000100000011100100101010000100001111000111100011111000010101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000010000001110010010101000010000111100011110001111100001010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000001000000111001001010100001000011110001111000110001000101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011000100010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100010001010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110001000101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011100100010101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110010001010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111001000101011100000000101010101000110011100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011100100010101110000000010101010100011001110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101010001010111000000001010101010001100111000111110001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110101000101011100000000101010101000110011100010000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011010100010101110000000010101010100011001110001000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101010001010111000000001010101010001100111000100000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111101000101011100000000101010101000110011100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011110100010101110000000010101010100011001110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111010001010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111101000101011100000000101010100000101000111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011001100010101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100110001010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110011000101011100000000101010100000101000111101010101101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011001100010101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110110001010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111011000101011100000000101010100000101000111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011101100010101110000000010101010100100101000001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110110001010111000000001010101010010010100000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110111000101011100000000101010101001001010000000100100101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011011100010101110000000010101010100100101000000001100010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101110001010111000000001010101010010010100000000110001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110111000101011100000000101010101001001010000000011000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011111100010101110000000010101010100100101000001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111110001010111000000001010101010010010100000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111111000101011100000000101010101001001110000011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011111100010101110000000010101010100100111000001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100001001010111000000001010101010010011100000000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110000100101011100000000101010101001001110000000000000101000000010000000110110101000010001001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011000010010101110000000010101010100100111000000000000010100000001000000011011010100001000100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100001001010111000000001010101010010011100000000000001010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111000100101011100000000101010101001001110000011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011100010010101110000000010101010100100111000001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110001001010111000000001010101000001010111000111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111000100101011100000000101010100000101011100011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011010010010101110000000010101010000010101110000000000010100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101001001010111000000001010101000001010111000000101001010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110100100101011100000000101010100000101011100000010100101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011010010010101110000000010101010000010101110000001010010100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111001001010111000000001010101000001010111000111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111100100101011100000000101010100000101011100011111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011110010010101110000000010101010100010100011111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111001001010111000000001010101010001010001111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110010100101011100000000101010101000101000111101010101101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011001010010101110000000010101010100010100011110101010110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100101001010111000000001010101010001010001111010101011010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110010100101011100000000101010101000101000111101010101101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011101010010101110000000010101010100010100011111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110101001010111000000001010101010001010001111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111010100101011100000000101010101001001000010111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011101010010101110000000010101010100100100001011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101101001010111000000001010101010010010000101001001001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000110110100101011100000000101010101001001000010100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011011010010101110000000010101010100100100001010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101101001010111000000001010101010010010000101000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111110100101011100000000101010101001001000010111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011111010010101110000000010101010100100100001011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111101001010111000000001010101010010011000101111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000001000000111001001010100001000011110001111000111110100101011100000000101010101001001100010111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000100000011100100101010000100001111000111100011000110010101110000000010101010100100110001010000000010100000001000000011011010100000101100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100011001010111000000001010101010010011000101000000001010000000101000001101101010000010110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110001100101011100000000101010101001001100010100000000101000000010100000110110101000001011001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011000110010101110000000010101010100100110001010000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110011001010111000000001010101010010011000101111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111001100101011100000000101010101001001100010111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011100110010101110000000010101010100010101110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110011001010111000000001010101010001010111000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110101100101011100000000101010101000101011100000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011010110010101110000000010101010100010101110001000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101011001010111000000001010101010001010111000100000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110101100101011100000000101010101000101011100010000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011110110010101110000000010101010100010101110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111011001010111000000001010101010001010111000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111101100101011100000000101010101000101000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011110110010101110000000010101010100010100011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100111001010111000000001010101010001010001111010101011010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110011100101011100000000101010101000101000111101010101101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011001110010101110000000010101010100010100011110101010110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011111111100111001010111000000001010111110001010001111010101011010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111111111011100101011100000000101011111000101000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111111111101110010101110000000010101111100010100011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011111111110111001010111000000001010111110010010100000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111111111011100101011100000000101011111001001010000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111111111011110010101110000000010101111100100101000000010010010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011111111101111001010111000000001010111110010010100000000110001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111111110111100101011100000000101011111001001010000000011000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011011110010101110000000010101010100100101000000001100010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111111001010111000000001010101010010010100000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111111100101011100000000101010101001001010000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011111110010101110000000010101010100100111000001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111111001010111000000001010101010010011100000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110000010101011100000000101010101001001110000000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011000001010101110000000010101010100100111000000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100000101010111000000001010101010010011100000000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110000010101011100000000101010101001001110000000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011100001010101110000000010101010100100111000001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110000101010111000000001010101010010011100000111111111010000000101000001001101010101111110010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111000010101011100000000101010100000111011100011111111101000000010100000100110101010111111001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011100001010101110000000010101010000011101110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101000101010111000000001010101000001110111000000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110100010101011100000000101010100000111011100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011010001010101110000000010101010000011101110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101000101010111000000001010101000001110111000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111100010101011100000000101010100000111011100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011110001010101110000000010101010000011101110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111000101010111000000001010101010001010001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111100010101011100000000101010101000101000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011001001010101110000000010101010100010100011110101010110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100100101010111000000001010101010001010001111010101011010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110010010101011100000000101010101000101000111101010101101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011001001010101110000000010101010100010100011110101010110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110100101010111000000001010101010001010001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111010010101011100000000101010101000101000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011101001010101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110100101010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110110010101011100000000101010101001001000100100100100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011011001010101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101100101010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110110010101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011111001010101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111100101010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111110010101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011111001010101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100010101010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110001010101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011000101010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100010101010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111001010101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011100101010101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001110010101010111000000001010101010001110111000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111001010101011100000000101010101000111011100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011010101010101110000000010101010100011101110000000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101010101010111000000001010101010001110111000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110101010101011100000000101010101000111011100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011010101010101110000000010101010100011101110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111010101010111000000001010101010001110111000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111101010101011100000000101010101000111011100011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011110101010101110000000010101010100010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111010101010111000000001010101010001010001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000110011010101011100000000101010101000101000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011001101010101110000000010101010100010100011110101010110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001100110101010111000000001010101010001010001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111111110011010101011100000000101011111000101000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111111111101101010101110000000010101111100010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011111111110110101010111000000001010111110001010001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111111111011010101011100000000101011111001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111111111101101010101110000000010101111100100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011111111101110101010111000000001010111110010010001001001001001010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111111110111010101011100000000101011111001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111111111011101010101110000000010101111100100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001101110101010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111111010101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011111101010101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000010000001110010010101000010000111100011110001111110101010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000001000000111001001010100001000011110001111000111111010101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000100000011100100101010000100001111000111100011000011010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100001101010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110000110101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011000011010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110001101010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111000110101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011100011010101110000000010101010000010011110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110001101010111000000001010101000001001111000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110100110101011100000000101010100000100111100011111000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011010011010101110000000010101010000010011110000010010010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101001101010111000000001010101000001001111000001001001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110100110101011100000000101010100000100111100000100100101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011110011010101110000000010101010000010011110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111001101010111000000001010101000001001111000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111100110101011100000000101010100000111000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011110011010101110000000010101010000011100011111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100101101010111000000001010101000001110001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110010110101011100000000101010100000111000111101010101101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011001011010101110000000010101010000011100011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100101101010111000000001010101000001110001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111010110101011100000000101010100000111000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011101011010101110000000010101010000011100011111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110101101010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111010110101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011011011010101110000000010101010100100100010010010010010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101101101010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110110110101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011011011010101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111101101010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111110110101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011111011010101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111101101010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110001110101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011000111010101110000000010101010100100110010010000000010100000001000000011011010100001000100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100011101010111000000001010101010010011001001000000001010000000100000001101101010000100010010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110001110101011100000000101010101001001100100100000000101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011100111010101110000000010101010100100110010011111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110011101010111000000001010101010010011001001111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111001110101011100000000101010101000100111100011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011100111010101110000000010101010100010011110001111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101011101010111000000001010101010001001111000111110001010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110101110101011100000000101010101000100111100000100100101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011010111010101110000000010101010100010011110000010010010100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101011101010111000000001010101010001001111000001001001010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111101110101011100000000101010101000100111100011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011110111010101110000000010101010100010011110001111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111011101010111000000001010101000001110001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111101110101011100000000101010100000111000111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011001111010101110000000010101010000011100011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100111101010111000000001010101000001110001111010101011010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110011110101011100000000101010100000111000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011001111010101110000000010101010000011100011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110111101010111000000001010101000001110001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111011110101011100000000101010100000111000111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011101111010101110000000010101010100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110111101010111000000001010101010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110111110101011100000000101010101001001000100100100100101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011011111010101110000000010101010100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101111101010111000000001010101010010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000110111110101011100000000101010101001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011111111010101110000000010101010100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111111101010111000000001010101010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000001000000111001001010100001000011110001111000111111110101011100000000101010101001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000100000011100100101010000100001111000111100011111111010101110000000010101010100100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100000011010111000000001010101010010011001001000000001010000000100000001101101010000010110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110000001101011100000000101010101001001100100100000000101000000010100000110110101000001011001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011000000110101110000000010101010100100110010010000000010100000001010000011011010100000101100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100000011010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111000001101011100000000101010101001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011100000110101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110000011010111000000001010101000001101111000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111000001101011100000000101010100000110111100011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011010000110101110000000010101010000011011110001111100010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101000011010111000000001010101000001101111000001001001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110100001101011100000000101010100000110111100000100100101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011010000110101110000000010101010000011011110000010010010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111000011010111000000001010101000001101111000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111100001101011100000000101010100000110111100011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011110000110101110000000010101010000011100011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111000011010111000000001010101000001110001111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110010001101011100000000101010100000111000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011001000110101110000000010101010000011100011110101010110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100100011010111000000001010101000001110001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110010001101011100000000101010100000111000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011101000110101110000000010101010000011100011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110100011010111000000001010101000001110001111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111010001101011100000000101010101001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011101000110101110000000010101010100100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101100011010111000000001010101010010010001001001001001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110110001101011100000000101010101001001000100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011011000110101110000000010101010100100100010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101100011010111000000001010101010010010001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111110001101011100000000101010101001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011111000110101110000000010101010100100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111100011010111000000001010101010010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111110001101011100000000101010101001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011000100110101110000000010101010100100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100010011010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110001001101011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011000100110101110000000010101010100100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110010011010111000000001010101010010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111001001101011100000000101010101001001100100111111111101000000010100000100110101010111111001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011100100110101110000000010101010100011011110001111111110100000001010000010011010101011111100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110010011010111000000001010101010001101111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110101001101011100000000101010101000110111100011111000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011010100110101110000000010101010100011011110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101010011010111000000001010101010001101111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110101001101011100000000101010101000110111100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011110100110101110000000010101010100011011110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111010011010111000000001010101010001101111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111101001101011100000000101010100000111000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011110100110101110000000010101010000011100011111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100110011010111000000001010101000001110001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110011001101011100000000101010100000111000111101010101101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011001100110101110000000010101010000011100011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100110011010111000000001010101000001110001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111011001101011100000000101010100000111000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011101100110101110000000010101010000011100011111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110110011010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111011001101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011011100110101110000000010101010100100100010010010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101110011010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110111001101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011011100110101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111110011010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111111001101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011111100110101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111110011010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110000101101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011000010110101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100001011010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110000101101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011100010110101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110001011010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111000101101011100000000101010100000101111100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011100010110101110000000010101010000010111110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101001011010111000000001010101000001011111000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110100101101011100000000101010100000101111100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011010010110101110000000010101010000010111110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101001011010111000000001010101000001011111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111100101101011100000000101010100000101111100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011110010110101110000000010101010000010111110001111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111001011010111000000001010101010001110001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111100101101011100000000101010101000111000111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011001010110101110000000010101010100011100011110101010110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100101011010111000000001010101010001110001111010101011010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110010101101011100000000101010101000111000111101010101101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011001010110101110000000010101010100011100011110101010110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110101011010111000000001010101010001110001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111010101101011100000000101010101000111000111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011101010110101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001110101011010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110110101101011100000000101010101001001000100100100100101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011011010110101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001101101011010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000110110101101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011111010110101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001111101011010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000001000000111001001010100001000011110001111000111110101101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000100000011100100101010000100001111000111100011111010110101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000010000001110010010101000010000111100011110001100011011010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110001101101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011000110110101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100011011010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111001101101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011100110110101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110011011010111000000001010101010001011111000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111001101101011100000000101010101000101111100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011010110110101110000000010101010100010111110000000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101011011010111000000001010101010001011111000001001001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110101101101011100000000101010101000101111100000100100101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011010110110101110000000010101010100010111110000010010010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111011011010111000000001010101010001011111000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111101101101011100000000101010101000101111100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011110110110101110000000010101010100011100011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111011011010111000000001010101010001110001111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110011101101011100000000101010101000111000111101010101101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011001110110101110000000010101010100011100011110101010110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100111011010111000000001010101010001110001111010101011010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110011101101011100000000101010101000111000111101010101101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011101110110101110000000010101010100011100011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110111011010111000000001010101010001110001111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111011101101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011101110110101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101111011010111000000001010101010010010001001001001001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110111101101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011011110110101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101111011010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111111101101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011111110110101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111111011010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111111101101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011000001110101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100000111010111000000001010101010010011001001000000001010000000100000001101101010000100010010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110000011101011100000000101010101001001100100100000000101000000010000000110110101000010001001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011000001110101110000000010101010100100110010010000000010100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110000111010111000000001010101010010011001001111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111000011101011100000000101010101001001100100111111111101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011100001110101110000000010101010000011111110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110000111010111000000001010101000001111111000111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110100011101011100000000101010100000111111100000000000101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011010001110101110000000010101010000011111110000010010010100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101000111010111000000001010101000001111111000001001001010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110100011101011100000000101010100000111111100000100100101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011110001110101110000000010101010000011111110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111000111010111000000001010101000001111111000111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111100011101011100000000101010101000111000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011110001110101110000000010101010100011100011111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100100111010111000000001010101010001110001111010101011010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110010011101011100000000101010101000111000111101010101101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011001001110101110000000010101010100011100011110101010110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100100111010111000000001010101010001110001111010101011010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111010011101011100000000101010101000111000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011101001110101110000000010101010100011100011111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110100111010111000000001010101010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111010011101011100000000101010101001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011011001110101110000000010101010100100100010010010010010100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101100111010111000000001010101010010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110110011101011100000000101010101001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011011001110101110000000010101010100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111100111010111000000001010101010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000111110011101011100000000101010101001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000000000000000000000011101100101010000100001111000111100011111001110101110000000010101010100100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111100111010111000000001010101010010011001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000000000000000000000111011001010100001000011110001111000110001011101011100000000101010101001001100100100000000101000000010000000110110101000001011001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011000101110101110000000010101010100100110010010000000010100000001010000011011010100000101100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100010111010111000000001010101010010011001001000000001010000000101000001101101010000010110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110001011101011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011100101110101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110010111010111000000001010101010010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111001011101011100000000101010101000111111100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011100101110101110000000010101010100011111110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101010111010111000000001010101010001111111000000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110101011101011100000000101010101000111111100000100100101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011010101110101110000000010101010100011111110000010010010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101010111010111000000001010101010001111111000001001001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111101011101011100000000101010101000111111100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011110101110101110000000010101010100011111110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111010111010111000000001010101010001110001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111101011101011100000000101010101000111000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011001101110101110000000010101010100011100011110101010110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100110111010111000000001010101010001110001111010101011010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110011011101011100000000101010101000111000111101010101101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011001101110101110000000010101010100011100011110101010110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110110111010111000000001010101010001110001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111011011101011100000000101010101000111000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011101101110101110000000010101010100100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110110111010111000000001010101010010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110111011101011100000000101010101001001000100100100100101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011011101110101110000000010101010100100100010010000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101110111010111000000001010101010010010001001000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110111011101011100000000101010101001001000100100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011111101110101110000000010101010100100100010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111110111010111000000001010101010010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111111011101011100000000101010101001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011111101110101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100001111010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110000111101011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011000011110101110000000010101010100100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100001111010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111000111101011100000000101010101001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011100011110101110000000010101010100100110010011111111110100000001010000010011010101011111100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110001111010111000000001010101001001000011000111111111010000000101000001001101010101111110010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111000111101011100000000101010100100100001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011010011110101110000000010101010010010000110001110000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101001111010111000000001010101001001000011000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110100111101011100000000101010100100100001100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011010011110101110000000010101010010010000110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111001111010111000000001010101001001000011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111100111101011100000000101010100100100001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011110011110101110000000010101010010010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111001111010111000000001010101001001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110010111101011100000000101010100100100000111100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011001011110101110000000010101010010010000011110000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100101111010111000000001010101001001000001111000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110010111101011100000000101010100100100000111100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011101011110101110000000010101010010010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110101111010111000000001010101001001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111010111101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011101011110101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101101111010111000000001010101010010010001001001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110110111101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011011011110101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101101111010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111110111101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011111011110101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111101111010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111110111101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011000111110101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100011111010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110001111101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011000111110101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110011111010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111001111101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011100111110101110000000010101010110010000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110011111010111000000001010101011001000011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110101111101011100000000101010101100100001100011100000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011010111110101110000000010101010110010000110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101011111010111000000001010101011001000011000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110101111101011100000000101010101100100001100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011110111110101110000000010101010110010000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111011111010111000000001010101011001000011000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111101111101011100000000101010100100100000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011110111110101110000000010101010010010000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100111111010111000000001010101001001000001111000000001010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110011111101011100000000101010100100100000111100000000101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011001111110101110000000010101010010010000011110000000010100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001100111111010111000000001010101001001000001111000000001010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111011111101011100000000101010100100100000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011101111110101110000000010101010010010000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001110111111010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111011111101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011011111110101110000000010101010100100100010010010010010100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001101111111010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110111111101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011011111110101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111111111010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000111111111101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000000000000000000000011101100101010000100001111000111100011111111110101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000000000000000000000001110110010101000010000111100011110001111111111010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000000000000000000000111011001010100001000011110001111000110000000011011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001000000001101110000000010100000100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100000000110111000000001010000010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010000000011011100000000101000001001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001100000001101110000000010100000100100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110000000110111000000001010000010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011000000011011100000000101000001001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001100000001101110000000010100000100100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101000000110111000000001010000010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010100000011011100000000101000001001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001010000001101110000000010100000100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101000000110111000000001010000010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011100000011011100000000101000001001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001110000001101110000000010100000100100110010011111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111000000110111000000001010000010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011100000011011100000000101000001001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001001000001101110000000010100000100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100100000110111000000001010000010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010010000011011100000000101000001001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001001000001101110000000010100000100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110100000110111000000001010000010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011010000011011100000000101000000110011011111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001101000001101110000000010100000011001101111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110100000110111000000001010000001100110111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010110000011011100000000101000000110011011111100011100101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001011000001101110000000010100000011001101111110001110010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101100000110111000000001010000001100110111111000111001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010110000011011100000000101000000110011011111100011100101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001111000001101110000000010100000011001101111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111100000110111000000001010000001100111111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011110000011011100000000101000000110011111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001111000001101110000000010100000011001111111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100010000110111000000001010000001100111111111000100001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010001000011011100000000101000000110011111111100010000101000000010000000110110101000010001001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001000100001101110000000010100000011001111111110001000010100000001000000011011010100001000100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100010000110111000000001010000001100111111111000100001010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011001000011011100000000101000000110011111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001100100001101110000000010100000011001111111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110010000110111000000001010000001100111111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011001000011011100000000101000000110011111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001010100001101110000000010100000011001111111110001000010100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101010000110111000000001010000001100111111111000100001010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010101000011011100000000101000000110011111111100010000101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001010100001101110000000010100000011001111111110001000010100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111010000110111000000001010000001100111111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011101000011011100000000101000000110011111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001110100001101110000000010100000011001111111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111010000110111000000001010000001100111111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010011000011011100000000101000000110011111111100010000101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001001100001101110000000010100000011001111111110001000010100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100110000110111000000001010000001100111111111000100001010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010011000011011100000000101000000110011111111100010000101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001101100001101110000000010100000011001111111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110110000110111000000001010000011100000111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011011000011011100000000101000001110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001101100001101110000000010100000111000001111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101110000110111000000001010000011100000111111000000001010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000010111000011011100000000101000001110000011111100000000101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001011100001101110000000010100000111000001111110000000010100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101110000110111000000001010000011100000111111000000001010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011111000011011100000000101000001110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001111100001101110000000010100000111000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111110000110111000000001010000011100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000000000000000000000111011001010100001000011110000000000011111000011011100000000101000001110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000000000000000000000011101100101010000100001111000000000001000010001101110000000010100000111000011111110000000010100000001000000011011010100000101100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100001000110111000000001010000011100001111111000000001010000000101000001101101010000010110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010000100011011100000000101000001110000111111100000000101000000010100000110110101000001011001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001000010001101110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110001000110111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011000100011011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001100010001101110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110001000110111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010100100011011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001010010001101110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101001000110111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010100100011011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001110010001101110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111001000110111000000001010000011100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011100100011011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001110010001101110000000010100000111000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100101000110111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010010100011011100000000101000001110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001001010001101110000000010100000111000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100101000110111000000001010000011100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011010100011011100000000101000001110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001101010001101110000000010100000011000001111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110101000110111000000001010000001100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011010100011011100000000101000000110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001011010001101110000000010100000011000001111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101101000110111000000001010000001100000111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010110100011011100000000101000000110000011111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001011010001101110000000010100000011000001111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111101000110111000000001010000001100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011110100011011100000000101000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001111010001101110000000010100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111101000110111000000001010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010001100011011100000000101000000110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001000110001101110000000010100000011000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100011000110111000000001010000001100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010001100011011100000000101000000110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001100110001101110000000010100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110011000110111000000001010000001100001111111111111111010000000101000001001101010101111110010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011001100011011100000000101000000110000111111111111111101000000010100000100110101010111111001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001100110001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101011000110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010101100011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001010110001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101011000110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011101100011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001110110001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111011000110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011101100011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001001110001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100111000110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010011100011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001001110001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110111000110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011011100011011100000000101000000110000011111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001101110001101110000000010100000011000001111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110111000110111000000001010000001100000111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010111100011011100000000101000000110000011111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001011110001101110000000010100000011000001111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101111000110111000000001010000001100000111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010111100011011100000000101000000110000011111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001111110001101110000000010100000011000001111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111111000110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011111100011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001111110001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100000100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010000010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001000001001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100000100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011000010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001100001001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110000100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011000010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001010001001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101000100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010100010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001010001001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111000100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011100010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001110001001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111000100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010010010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001001001001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000100100100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010010010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001101001001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000110100100110111000000001010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011010010011011100000000101000000110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001101001001101110000000010100000011000001111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101100100110111000000001010000001100000111111000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000010110010011011100000000101000000110000011111100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001011001001101110000000010100000011000001111110000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000101100100110111000000001010000001100000111111000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011110010011011100000000101000000110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001111001001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000000000000000000000001110110010101000010000111100000000000111100100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000000000000000000000111011001010100001000011110000000000011110010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000000000000000000000011101100101010000100001111000000000001000101001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100010100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010001010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001000101001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110010100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011001010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001100101001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110010100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010101010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001010101001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101010100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010101010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001110101001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111010100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011101010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001110101001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100110100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010011010011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001001101001101110000000010100000011000011111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100110100110111000000001010000001100001111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011011010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001101101001101110000000010100000011000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110110100110111000000001010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011011010011011100000000101000000110000011111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001011101001101110000000010100000011000001111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101110100110111000000001010000001100000111111000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010111010011011100000000101000000110000011111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001011101001101110000000010100000011000001111110000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111110100110111000000001010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011111010011011100000000101000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001111101001101110000000010100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111110100110111000000001010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010000110011011100000000101000000110000111111100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001000011001101110000000010100000011000011111110000000010100000001000000011011010100001000100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100001100110111000000001010000001100001111111000000001010000000100000001101101010000100010010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010000110011011100000000101000000110000111111100000000101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001100011001101110000000010100000011000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110001100110111000000001010000001100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011000110011011100000000101000000110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001100011001101110000000010100000011000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101001100110111000000001010000001100001111111000000001010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010100110011011100000000101000000110000111111100000000101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001010011001101110000000010100000011000011111110000000010100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101001100110111000000001010000001100001111111000000001010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011100110011011100000000101000000110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001110011001101110000000010100000011000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111001100110111000000001010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011100110011011100000000101000000110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001001011001101110000000010100000011000011111110000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100101100110111000000001010000001100001111111000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010010110011011100000000101000000110000111111100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001001011001101110000000010100000011000011111110000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110101100110111000000001010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011010110011011100000000101000000110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001101011001101110000000010100000011000001111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110101100110111000000001010000001100000111111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010110110011011100000000101000000110000011111100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001011011001101110000000010100000011000001111110000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101101100110111000000001010000001100000111111000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000010110110011011100000000101000000110000011111100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001111011001101110000000010100000011000001111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111101100110111000000001010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000000000000000000000111011001010100001000011110000000000011110110011011100000000101000000110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000000000000000000000011101100101010000100001111000000000001111011001101110000000010100000011000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100011100110111000000001010000001100001111111000000001010000000100000001101101010000010110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010001110011011100000000101000000110000111111100000000101000000010100000110110101000001011001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001000111001101110000000010100000011000011111110000000010100000001010000011011010100000101100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100011100110111000000001010000001100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011001110011011100000000101000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001100111001101110000000010100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110011100110111000000001010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011001110011011100000000101000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001010111001101110000000010100000011000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101011100110111000000001010000001100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010101110011011100000000101000000110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001010111001101110000000010100000011000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111011100110111000000001010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011101110011011100000000101000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001110111001101110000000010100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111011100110111000000001010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010011110011011100000000101000000110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001001111001101110000000010100000011000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100111100110111000000001010000001100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010011110011011100000000101000000110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001101111001101110000000010100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110111100110111000000001010000001100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011011110011011100000000101000000110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001101111001101110000000010100000011000001111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101111100110111000000001010000001100000111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010111110011011100000000101000000110000011111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001011111001101110000000010100000011000001111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101111100110111000000001010000001100000111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011111110011011100000000101000000110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001111111001101110000000010100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111111100110111000000001010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011111110011011100000000101000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001000000101101110000000010100000011000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100000010110111000000001010000001100001111111000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010000001011011100000000101000000110000111111100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001000000101101110000000010100000011000011111110000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110000010110111000000001010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011000001011011100000000001000001110011111111111111111101000000010100000100110101010111111001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001100000101101110000000000100000111001111111111111111110100000001010000010011010101011111100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110000010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010100001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001010000101101110000000000100000111001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101000010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010100001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001110000101101110000000000100000111001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111000010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011100001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001110000101101110000000000100000111001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100100010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010010001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001001000101101110000000000100000111001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100100010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011010001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001101000101101110000000000100000111001101111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110100010110111000000000010000011100110111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011010001011011100000000001000001110011011111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001011000101101110000000000100000111001101111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101100010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010110001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001011000101101110000000000100000111001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111100010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011110001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001111000101101110000000000100000111001111111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000111100010110111000000000010000011100111111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010001001011011100000000001000001110011111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001000100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000100010010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010001001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001100100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000110010010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000011001001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000001100100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000101010010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000010101001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000010100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000001010010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000001101001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000110100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000011010010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000001101001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000001100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000000110010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000000011001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000001100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000010110010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000001011001011011100000000001000000110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000101100101101110000000000100000011000001111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000010110010110111000000000010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000000111001011011100000000001000000110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000011100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000001110010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000000111001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000111100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000011110010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000000000000000000000111011001010100001000011110000000000001111001011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000000000000000000000011101100101010000100001111000000000000111100101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000000000000000000000001110110010101000010000111100000000000000001010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000000101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000000010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000001010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001000101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000100010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010001010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001000101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000010010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001001010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000100101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000010010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011001010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001100101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000110010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011001010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000010101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000001010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000101010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000010101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000101010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010101010110111000000000010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001010101011011100000000001000000110000011111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000101010101101110000000000100000011000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001101010110111000000000010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000110101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000011010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001101010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001110101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000111010101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011101010110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001110101011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000000110101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000011010110111000000000010000001100001111111111111111010000000100000001101101010000100010010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000001101011011100000000001000000110000111111111111111101000000010000000110110101000010001001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000000110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010011010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001001101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000100110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010011010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000101101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000010110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001011010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000101101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000110110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011011010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001101101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000110110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000111010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000011101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000001110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000111010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001011101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000101110101101110000000000100000011000001111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010111010110111000000000010000001100000111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001011101011011100000000001000000110000011111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000011110101101110000000000100000011000001111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001111010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000111101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000011110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011111010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000001111101011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000000000000000000000011101100101010000100001111000000000000111110101101110000000000100000011000011111111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011111010110111000000000010000001100001111111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000000000000000000000111011001010100001000011110000000000000000011011011100000000001000000110000111111111111111101000000010000000110110101000001011001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000000001101101110000000000100000011000011111111111111110100000001010000011011010100000101100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000000110110111000000000010000001100001111111111111111010000000101000001101101010000010110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000000011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000100001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010000110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001000011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000100001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001000110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000100011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000010001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001000110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001100011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000110001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011000110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001100011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000001001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000100110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000010011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000001001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010100110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001010011011011100000000001000000110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000101001101101110000000000100000011000001111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010100110110111000000000010000001100000111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000110011011011100000000001000000110000011111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000011001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001100110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000110011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000111001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011100110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001110011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000111001101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000010110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000001011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000000101101101110000000000100000011000011111111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000010110110111000000000010000001100001111111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001001011011011100000000001000000110000111111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000100101101101110000000000100000011000011111111111111110100000001010000010011010101011111100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010010110110111000000000010000001100001111111111111111010000000101000001001101010101111110010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001001011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000010101101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001010110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000101011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000010101101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011010110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001101011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000110101101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011010110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000011011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000001101101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000110110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000011011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000101101101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010110110110111000000000010000001100000111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001011011011011100000000001000000110000011111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000101101101101110000000000100000011000001111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001110110110111000000000010000001100000111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000111011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000011101101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001110110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001111011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000111101101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011110110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001111011011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000000011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000001110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000000111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000000011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010001110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001000111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000100011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010001110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000100111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000010011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001001110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000100111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000110011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011001110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001100111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000110011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000101110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000010111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000001011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000000101110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001010111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000101011101101110000000000100000011000001111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000010101110110111000000000010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001010111011011100000000001000000110000011111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000011011101101110000000000100000011000001111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000001101110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000110111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000011011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011101110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000001110111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000000000000000000000011101100101010000100001111000000000000111011101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000000000000000000000001110110010101000010000111100000000000011101110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000000000000000000000111011001010100001000011110000000000000001111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000000000111101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000000011110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000000001111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000000100111101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000010011110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000001001111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000000100111101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000001011110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000000101111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001010111101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000101011110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011101111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001110111101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111011110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011101111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001001111101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000100111110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010011111011011100000000001000000110000111111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001001111101101110000000000100000011000011111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000110111110110111000000000010000001100001111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011011111011011100000000001000000110000011111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001101111101101110000000000100000011000001111111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000110111110110111000000000010000001100000111111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010111111011011100000000001000000110000011111111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001011111101101110000000010100000100100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000101111110110111000000001010000010010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010111111011011100000000101000001001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001111111101101110000000010100000100100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111111110110111000000001010000010010010001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011111111011011100000000101000000000110001100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001111111101101110000000010100000000011000110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000100000001110111000000001010000000001100011000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010000000111011100000000101000000000110001100011111111101000000010000000110110101000010001001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001000000011101110000000010100000000011000110001111111110100000001000000011011010100001000100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000100000001110111000000001010000000001100011000111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011000000111011100000000101000000000110001100011111111101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001100000011101110000000010100000000011000110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000110000001110111000000001010000000001000001111111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011000000111011100000000101000000000100000111111111111101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001010000011101110000000010100000000010000011110101010110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000101000001110111000000001010000000001000001111010101011010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010100000111011100000000101000000000100000111101010101101000000010000000110110101000001011001001000001000100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001010000011101110000000010100000000010000011110101010110100000001000000011011010100000101100100100000100010010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111000001110111000000001010000000001000001111111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011100000111011100000000101000000000100000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001110000011101110000000010100000100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111000001110111000000001010000010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010010000111011100000000101000001001001000100100100100101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001001000011101110000000010100000100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000100100001110111000000001010000010010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010010000111011100000000101000001001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001101000011101110000000010100000100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000110100001110111000000001010000010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011010000111011100000000101000001001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001101000011101110000000010100000100100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000101100001110111000000001010000010010011001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000010110000111011100000000101000001001001100100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001011000011101110000000010100000100100110010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000101100001110111000000001010000010010011001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011110000111011100000000101000001001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001111000011101110000000010100000100100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111100001110111000000001010000010001100011000111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000000000000000100000000000000000111011001010100001000011110000000000011110000111011100000000101000001000110001100011111111101000000010000000110110101000001011001001000000101100100100101000000000000000000000000000000010000000000000000011101100101010000100001111000000000001000100011101110000000010100000100011000110001111111110100000001000000011011010100000101100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000100010001110111000000001010000010001100011000000000001010000000101000001101101010000010110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000010001000111011100000000101000001000110001100000000000101000000010100000110110101000001011001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001000100011101110000000010100000100011000110000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000110010001110111000000001010000010001100011000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000011001000111011100000000101000001000110001100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001100100011101110000000010100000000010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000110010001110111000000001010000000001000001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000010101000111011100000000101000000000100000111101010101101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001010100011101110000000010100000000010000011110101010110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000101010001110111000000001010000000001000001111010101011010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000010101000111011100000000101000000000100000111101010101101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001110100011101110000000010100000000010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111010001110111000000001010000000001000001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000011101000111011100000000101000001001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001110100011101110000000010100000100100100000001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000100110001110111000000001010000010010010000000001001001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000010011000111011100000000101000001001001000000000100110101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001001100011101110000000010100000100100100000000010011010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000100110001110111000000001010000010010010000000001001101010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000011011000111011100000000101000001001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001101100011101110000000010100000100100100000001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000110110001110111000000001010000010010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000011011000111011100000000101000001001001100000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001011100011101110000000010100000100100110000000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000101110001110111000000001010000010010011000000000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000010111000111011100000000101000001001001100000000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001011100011101110000000010100000100100110000000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111110001110111000000001010000010010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000011111000111011100000000101000001001001100000011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000000000001111100011101110000000010100000000010100110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100000000000111110001110111000000001010000000001010011000111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110000000000010000000001011100000000101000000000101001100000111111101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011000000000101110000000010101010000010100110000000000010100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001100000000010111000000001010101000001010011000000000001010000000101000001101101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110000000001011100000000101010100000101001100000000000101000000010100000110110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011100000000101110000000010101010000010100110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001110000000010111000000001010101000001010011000111111111010000000101000001001101010101111110010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111000000001011100000000101010100000110001100011111111101000000010100000100110101010111111001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011100000000101110000000010101010000011000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001101000000010111000000001010101000001100011000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110100000001011100000000101010100000110001100000100100101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011010000000101110000000010101010000011000110000010010010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001101000000010111000000001010101000001100011000001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111100000001011100000000101010100000110001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011110000000101110000000010101010000011000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001111000000010111000000001010101000001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111100000001011100000000101010100000100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011001000000101110000000010101010000010000011110101010110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001100100000010111000000001010101000001000001111010101011010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110010000001011100000000101010100000100000111101010101101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011001000000101110000000010101010000010000011110101010110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001110100000010111000000001010101000001000001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111010000001011100000000101010100000100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011101000000101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001110100000010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110110000001011100000000101010101001001000100100100100101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011011000000101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001101100000010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110110000001011100000000101010101001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011111000000101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001111100000010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111110000001011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011111000000101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001100010000010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110001000001011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011000100000101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011111111100010000010111000000001010111110010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111111111001000001011100000000101011111001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111111111100100000101110000000010101111100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011111111110010000010111000000001010111110001100011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111001000001011100000000101010101000110001100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011010100000101110000000010101010100011000110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001101010000010111000000001010101010001100011000000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110101000001011100000000101010101000110001100000000000101000000010100000100110101000010001001001000000101100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111111111010100000101110000000010101111100011000110000000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011111111111010000010111000000001010111110001100011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111111111101000001011100000000101011111000110001100011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111111111110100000101110000000010101111000010000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011111111111010000010111000000001010111100001000001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111111110011000001011100000000101011110000100000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111111111001100000101110000000010101111000010000011110101010110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011111111100110000010111000000001010111100001000001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110011000001011100000000101010100000100000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011101100000101110000000010101010000010000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001110110000010111000000001010101000001000001111111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111011000001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011101100000101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011110001101110000010111000000001010101010010010000000001001001010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000110111000001011100000000101010101001001000000000100110101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011011100000101110000000010101010100100100000000010011010100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011111111101110000010111000000001010111110010010000000001001101010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111111111111000001011100000000101011111001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111111111111100000101110000000010101111100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000000000000001000000000000000001110110010101000010000111100011111111111110000010111000000001010111110010011000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000000000000000100000000000000000111011001010100001000011110001111000111111000001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000000000000000010000000000000000011101100101010000100001111000111100011000010000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100001000010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110000100001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011000010000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110001000010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000111000100001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011100010000101110000000010101010000010100110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110001000010111000000001010101000001010011000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110100100001011100000000101010100000101001100000111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011010010000101110000000010101010000010100110000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101001000010111000000001010101000001010011000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110100100001011100000000101010100000101001100000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011110010000101110000000010101010000010100110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001111001000010111000000001010101000001010011000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000111100100001011100000000101010101000100000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011110010000101110000000010101010100010000011111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100101000010111000000001010101010001000001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110010100001011100000000101010101000100000111101010101101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011001010000101110000000010101010100010000011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100101000010111000000001010101010001000001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000111010100001011100000000101010101000100000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011101010000101110000000010101010100010000011111111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110101000010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000111010100001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011011010000101110000000010101010100100100000000010010010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101101000010111000000001010101010010010000000001001101010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110110100001011100000000101010101001001000000000100110101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011011010000101110000000010101010100100100000000010011010100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001111101000010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000111110100001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011111010000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001111101000010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110001100001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011000110000101110000000010101010100100110000000000000010100000001000000011011010100001000100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100011000010111000000001010101010010011000000000000001010000000100000001101101010000100010010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110001100001011100000000101010101001001100000000000000101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011100110000101110000000010101010100100110000001111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110011000010111000000001010101010010011000000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000111001100001011100000000101010101000101001100011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011100110000101110000000010101010100010100110001111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101011000010111000000001010101010001010011000000011101010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110101100001011100000000101010101000101001100000000000101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011010110000101110000000010101010100010100110000000000010100000001000000011011010100000101100100100000100010010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011111111101011000010111000000001010111110001010011000000000001010000000100000001101101010000010110010010000010001001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111111111101100001011100000000101011111000101001100011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111111111110110000101110000000010101111100010100110001111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111011000010111000000001010111110001000001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111111111101100001011100000000101011111000100000111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111111111001110000101110000000010101111100010000011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011111111100111000010111000000001010111110001000001111010101011010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111111110011100001011100000000101011111000100000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011001110000101110000000010101010100010000011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110111000010111000000001010101010001000001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000111011100001011100000000101010101000100000111111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011101110000101110000000010101010100100100000001111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110111000010111000000001010101010010010000000111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111000110111100001011100000000101010101001001000000000100100101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011011110000101110000000010101010100100100000000010011010100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101111000010111000000001010101010010010000000001001101010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111111110111100001011100000000101011111001001000000000100110101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111111111111110000101110000000010101111100100100000001111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111111000010111000000001010111110010010000000111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000000000000000100000000000000000111011001010100001000011110001111111111111100001011100000000101011111001001100000011111111101000000010000000110110101000001011001001000000101100100100101000000000100000000000000000000010000000000000000011101100101010000100001111000111100011111110000101110000000010101010100100110000001111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100000100010111000000001010101010010011000000000000001010000000100000001101101010000010110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110000010001011100000000101010101001001100000000000000101000000010100000110110101000001011001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011000001000101110000000010101010100100110000000000000010100000001010000011011010100000101100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100000100010111000000001010101010010011000000000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111000010001011100000000101010101001001100000011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011100001000101110000000010101010100100110000001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110000100010111000000001010101000001110011000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111000010001011100000000101010100000111001100011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011010001000101110000000010101010000011100110000000111010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101000100010111000000001010101000001110011000000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110100010001011100000000101010100000111001100000000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111010001000101110000000010101111000011100110000000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111000100010111000000001010111100001110011000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111111100010001011100000000101011110000111001100011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111110001000101110000000010101111100010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111000100010111000000001010111110001000001111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111110010010001011100000000101011111000100000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111001001000101110000000010101111100010000011110101010110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111100100100010111000000001010111110001000001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110010010001011100000000101010101000100000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011101001000101110000000010101010100010000011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110100100010111000000001010101010001000001111111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111010010001011100000000101010101001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011101001000101110000000010101010100100100000001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101100100010111000000001010101010010010000000001001001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110110010001011100000000101010101001001000000000100110101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011011001000101110000000010101010100100100000000010011010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111101100100010111000000001010111110010010000000001001101010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111111110010001011100000000101011111001001000000011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111111001000101110000000010101111100100100000001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111100100010111000000001010111110010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111110010001011100000000101010101001001100000011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011000101000101110000000010101010100100110000000000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100010100010111000000001010101010010011000000000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110001010001011100000000101010101001001100000000000000101000000010100000110110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011000101000101110000000010101010100100110000000000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110010100010111000000001010101010010011000000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111001010001011100000000101010101001001100000011111111101000000010100000100110101010111111001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011100101000101110000000010101010100011100110001111111110100000001010000010011010101011111100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110010100010111000000001010101010001110011000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110101010001011100000000101010101000111001100000011100101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011010101000101110000000010101010100011100110000000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101010100010111000000001010101010001110011000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111110101010001011100000000101011111000111001100000000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111110101000101110000000010101111100011100110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111010100010111000000001010111110001110011000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111111101010001011100000000101011111000100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111110101000101110000000010101111100010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111100110100010111000000001010111110001000001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111110011010001011100000000101011111000100000111101010101101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111001101000101110000000010101111100010000011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100110100010111000000001010101010001000001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111011010001011100000000101010101000100000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011101101000101110000000010101010100010000011111111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110110100010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111011010001011100000000101010101001001000000011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011011101000101110000000010101010100100100000000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101110100010111000000001010101010010010000000001001101010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110111010001011100000000101010101001001000000000100110101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111011101000101110000000010101111100100100000000010011010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111110100010111000000001010111110010010000000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111111111010001011100000000101011111001001000000011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111111101000101110000000010101111100100110000001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001111110100010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110000110001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011000011000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100001100010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110000110001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011100011000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110001100010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111000110001011100000000101010100000100101100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011100011000101110000000010101010000010010110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101001100010111000000001010101000001001011000000100001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110100110001011100000000101010100000100101100000000000101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011010011000101110000000010101010000010010110000000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111101001100010111000000001010111100001001011000000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111111100110001011100000000101011110000100101100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111110011000101110000000010101111000010010110001111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111001100010111000000001010111100001100001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111111100110001011100000000101011110000110000111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111001011000101110000000010101111000011000011110101011110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111100101100010111000000001010111100001100001111010101111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111110010110001011100000000101011110000110000111101010111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011001011000101110000000010101010000011000011110101011110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110101100010111000000001010101000001100001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000111010110001011100000000101010100000110000111111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011101011000101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001110101100010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111000110110110001011100000000101010101001001000000000100100101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011011011000101110000000010101010100100100000000010011010100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001101101100010111000000001010101010010010000000001001101010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111110110110001011100000000101011111001001000000000100110101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111111111111011000101110000000010101111100100100000001111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011111111111101100010111000000001010111110010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000000000000000100000000000000000111011001010100001000011110001111111111110110001011100000000101011111001001100000011111111101000000010100000100110101000010001001001000001000100100100111000000000100000000000000000000010000000000000000011101100101010000100001111000111100011111011000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000000000000001000000000000000001110110010101000010000111100011110001100011100010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110001110001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011000111000101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100011100010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000111001110001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011100111000101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110011100010111000000001010101010001001011000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000111001110001011100000000101010101000100101100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011010111000101110000000010101010100010010110000010010010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101011100010111000000001010101010001001011000001001001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110101110001011100000000101010101000100101100000100100101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111010111000101110000000010101111100010010110000010010010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111111011100010111000000001010111110001001011000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111111111101110001011100000000101011111000100101100011111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111110111000101110000000010101111000011000011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111111011100010111000000001010111100001100001111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111111110011110001011100000000101011110000110000111101010111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111001111000101110000000010101111000011000011110101011110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111100111100010111000000001010111100001100001111010101111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110011110001011100000000101010100000110000111101010111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011101111000101110000000010101010000011000011111111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110111100010111000000001010101000001100001111111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000111011110001011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011101111000101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101111100010111000000001010101010010010001001001001001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110111110001011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011011111000101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111101111100010111000000001010111110010010001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111111111111110001011100000000101011111001001000100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111111111000101110000000010101111100100100010011111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111111111100010111000000001010111110010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000111111110001011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011000000100101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100000010010111000000001010101010010011001001000000001010000000100000001101101010000100010010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110000001001011100000000101010101001001100100100000000101000000010000000110110101000010001001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011000000100101110000000010101010100100110010010000000010100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110000010010111000000001010101010010011001001111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000111000001001011100000000101010101001001100100111111111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011100000100101110000000010101010000011010110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110000010010111000000001010101000001101011000111111111010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110100001001011100000000101010100000110101100011000111101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011010000100101110000000010101010000011010110000010010010100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101000010010111000000001010101000001101011000001001001010000000100000001101101010000010110010010000010001001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111111110100001001011100000000101011110000110101100000100100101000000010000000110110101000001011001001000001000100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111110000100101110000000010101111000011010110001111111110100000001000000011011010100000101100100100000100010010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111111000010010111000000001010111100001101011000111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111111111100001001011100000000101011110000110000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111110000100101110000000010101111000011000011111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111100100010010111000000001010111100001100001111010101111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111111110010001001011100000000101011110000110000111101010111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111001000100101110000000010101111000011000011110101011110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100100010010111000000001010101000001100001111010101111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000111010001001011100000000101010100000110000111111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011101000100101110000000010101010000011000011111111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110100010010111000000001010101010010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000111010001001011100000000101010101001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111100011011000100101110000000010101010100100100010010010010010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101100010010111000000001010101010010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110110001001011100000000101010101001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111011000100101110000000010101111100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011111111111100010010111000000001010111110010010001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111111111110001001011100000000101011111001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000000000000001000000000010000000000000000011101100101010000100001111000111111111111000100101110000000010101111100100110010011111111110100000001000000011011010100000101100100100000010110010010010100000000000000000000100000000001000000000000000001110110010101000010000111100011110001111100010010111000000001010101010010011001001111111111010000000100000001101101010000010110010010000001011001001001010000000000000000000010000000000100000000000000000111011001010100001000011110001111000110001001001011100000000101010101001001100100100000000101000000010000000110110101000001011001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011000100100101110000000010101010100100110010010000000010100000001010000011011010100000101100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100010010010111000000001010101010010011001001000000001010000000101000001101101010000010110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110001001001011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011100100100101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110010010010111000000001010101010010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111001001001011100000000101010101000110101100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011100100100101110000000010101010100011010110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101010010010111000000001010101010001101011000000011111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110101001001011100000000101010101000110101100001110100101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011010100100101110000000010101010100011010110000111010010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101010010010111000000001010101010001101011000011101001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111101001001011100000000101010101000110101100011111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011110100100101110000000010101010100011010110001111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001111010010010111000000001010101000001100001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111101001001011100000000101010100000110000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011001100100101110000000010101010000011000011110101011110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100110010010111000000001010101000001100001111010101111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110011001001011100000000101010100000110000111101010111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011001100100101110000000010101010000011000011110101011110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110110010010111000000001010101000001100001111111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111011001001011100000000101010100000110000111111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011101100100101110000000010101010100100100111011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110110010010111000000001010101010010010011101111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110111001001011100000000101010101001001001110100100100101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011011100100101110000000010101010100100100111010011111010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101110010010111000000001010101010010010011101001111101010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110111001001011100000000101010101001001001110100111110101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011111100100101110000000010101010100100100111011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001111110010010111000000001010101010010010011101111111111010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111111001001011100000000101010101001001101110111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011111100100101110000000010101010100100110111011111111110100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100001010010111000000001010101010010011011101001000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110000101001011100000000101010101001001101110100100000101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011000010100101110000000010101010100100110111010010000010100000001010000011011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100001010010111000000001010101010010011011101001000001010000000101000001101101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111000101001011100000000101010101001001101110111111111101000000010100000110110101010111111001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011100010100101110000000010101010100100110111011111111110100000001010000010011010101011111100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110001010010111000000001010101000001011011000111111111010000000101000001001101010101111110010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111000101001011100000000101010100000101101100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011010010100101110000000010101010000010110110000001111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101001010010111000000001010101000001011011000100101001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110100101001011100000000101010100000101101100010010100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011010010100101110000000010101010000010110110001001010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001111001010010111000000001010101000001011011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111100101001011100000000101010100000101101100011111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011110010100101110000000010101010100011000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001111001010010111000000001010101010001100001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110010101001011100000000101010101000110000111101010101101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011001010100101110000000010101010100011000011110101010110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100101010010111000000001010101010001100001111010101011010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110010101001011100000000101010101000110000111101010101101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011101010100101110000000010101010100011000011111111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110101010010111000000001010101010001100001111111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111010101001011100000000101010101001001010010111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011101010100101110000000010101010100100101001011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101101010010111000000001010101010010010100101001001001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110110101001011100000000101010101001001010010100010100101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011011010100101110000000010101010100100101001010001010010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101101010010111000000001010101010010010100101000101001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111110101001011100000000101010101001001010010111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011111010100101110000000010101010100100101001011111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001111101010010111000000001010101010010011100101111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111110101001011100000000101010101001001110010111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011000110100101110000000010101010100100111001010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001100011010010111000000001010101010010011100101000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110001101001011100000000101010101001001110010100000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011000110100101110000000010101010100100111001010000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110011010010111000000001010101010010011100101111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000111001101001011100000000101010101001001110010111111111101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011100110100101110000000010101010100010110110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001110011010010111000000001010101010001011011000111111111010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110101101001011100000000101010101000101101100000001110101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111100011010110100101110000000010101010100010110110000000000010100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001101011010010111000000001010101010001011011000000000001010000000101000001001101010000100010010010000001011001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111111110101101001011100000000101011111000101101100000000000101000000010100000100110101000010001001001000000101100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111111111110110100101110000000010101111100010110110001111111110100000001010000010011010100001000100100100000010110010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011111111111011010010111000000001010111110001011011000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111111111101101001011100000000101011111000110000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111111111110110100101110000000010101111100011000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011111111100111010010111000000001010111110001100001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111111110011101001011100000000101011111000110000111101010101101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111111111001110100101110000000010101111100011000011110101010110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110110010101000010000111100000000000100111010010111000000001010000010001100001111010101011010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111011001010100001000011110000000000011011101001011100000000101000001000110000111111111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000000000001101110100101110000000010100000100011000011111111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110110010101000010000111100000000000110111010010111000000001010000010010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111011001010100001000011110000000000011011101001011100000000101000001001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000000000001011110100101110000000010100000100100100000000010010010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110110010101000010000111100000000000101111010010111000000001010000010010010000000001001101010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111011001010100001000011110000000000010111101001011100000000101000001001001000000000100110101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111011101011110100101110000000010101110100100100000000010011010100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011101110111111010010111000000001010111010010010000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001110111011111101001011100000000101011101001001000000011111111101000000010100000100110101000010001001001000001000100100100111000000000000000000001000000000010000000000000000011101100101010000100001111000111011101111110100101110000000010101110100100110000001111111110100000001010000010011010100001000100100100000100010010010011100000000000000000000100000000001000000000000000001110110010101000010000111100011110001111111010010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001110000000000000000000010000000000100000000000000000111011001010100001000011110001111000110000011001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011000001100101110000000010101010100100110000000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100000110010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000110000011001011100000000101010101001001100000000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011100001100101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110000110010111000000001010101010010011000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111000011001011100000000101010100000111101100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011100001100101110000000010101010000011110110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101000110010111000000001010101000001111011000000011101010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000110100011001011100000000101010100000111101100000000000101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011010001100101110000000010101010000011110110000000000010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101000110010111000000001010101000001111011000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111100011001011100000000101010100000111101100011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011110001100101110000000010101010000011110110001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111000110010111000000001010101010001100001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111111111100011001011100000000101011111000110000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111111111001001100101110000000010101111100011000011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111100100110010111000000001010111110001100001111010101011010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111111110010011001011100000000101011111000110000111101010101101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011001001100101110000000010101010100011000011110101010110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110100110010111000000001010101010001100001111111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111010011001011100000000101010101000110000111111111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011101001100101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111110100110010111000000001010111110010010000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111111110110011001011100000000101011111001001000000000100100101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111111111011001100101110000000010101111100100100000000010011010100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111101100110010111000000001010111110010010000000001001101010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000110110011001011100000000101010101001001000000000100110101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011111001100101110000000010101010100100100000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111100110010111000000001010101010010010000000111111111010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111110011001011100000000101010101001001100000011111111101000000010100000100110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011111001100101110000000010101010100100110000001111111110100000001010000010011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100010110010111000000001010101010010011000000000000001010000000101000001001101010000100010010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000110001011001011100000000101010101001001100000000000000101000000010000000110110101000010001001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011000101100101110000000010101010100100110000000000000010100000001000000011011010100001000100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100010110010111000000001010101010010011000000000000001010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111001011001011100000000101010101001001100000011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011100101100101110000000010101010100100110000001111111110100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110010110010111000000001010101010001111011000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111001011001011100000000101010101000111101100011111111101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011010101100101110000000010101010100011110110000001110010100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101010110010111000000001010101010001111011000001001001010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000110101011001011100000000101010101000111101100000100100101000000010000000110110101000001011001001000001000100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111111111010101100101110000000010101111100011110110000010010010100000001000000011011010100000101100100100000100010010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111111010110010111000000001010111110001111011000111111111010000000100000001101101010000010110010010000010001001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111111111101011001011100000000101011111000111101100011111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111111111110101100101110000000010101111100011000011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111111010110010111000000001010111110001100001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111111110011011001011100000000101011111000110000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111111111001101100101110000000010101111100011000011110101010110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111100110110010111000000001010111110001100001111010101011010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000110011011001011100000000101010101000110000111101010101101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011101101100101110000000010101010100011000011111111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110110110010111000000001010101010001100001111111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111011011001011100000000101010101001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011101101100101110000000010101010100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101110110010111000000001010101010010010001001001001001010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000110111011001011100000000101010101001001000100100000000101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011011101100101110000000010101010100100100010010000000010100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111101110110010111000000001010111110010010001001000000001010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111111111111011001011100000000101011111001001000100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111111111111101100101110000000010101111100100100010011111111110100000001000000011011010100000101100100100000010110010010010100000000010000000000100000000001000000000000000001110110010101000010000111100011111111111110110010111000000001010111110010011001001111111111010000000100000001101101010000010110010010000001011001001001010000000001000000000010000000000100000000000000000111011001010100001000011110001111000111111011001011100000000101010101001001100100111111111101000000010000000110110101000001011001001000000101100100100101000000000100000000001000000000010000000000000000011101100101010000100001111000111100011000011100101110000000010101010100100110010010000000010100000001000000011011010100000101100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100001110010111000000001010101010010011001001000000001010000000101000001101101010000010110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110000111001011100000000101010101001001100100100000000101000000010100000110110101000001011001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011000011100101110000000010101010100100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110001110010111000000001010101010010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111000111001011100000000101010101001001100100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011100011100101110000000010101010000010001110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110001110010111000000001010101000001000111000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110100111001011100000000101010100000100011100011111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011010011100101110000000010101010000010001110000010010010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101001110010111000000001010101000001000111000001001001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111111110100111001011100000000101011110000100011100000100100101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111111111110011100101110000000010101111000010001110001111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011111111111001110010111000000001010111100001000111000111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111111111100111001011100000000101011110000101000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111111111110011100101110000000010101111000010100011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011111111100101110010111000000001010111100001010001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111111110010111001011100000000101011110000101000111101010101101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111111111001011100101110000000010101111000010100011110101010110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100101110010111000000001010101000001010001111010101011010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111010111001011100000000101010100000101000111111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011101011100101110000000010101010000010100011111111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110101110010111000000001010101010010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111010111001011100000000101010101001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011011011100101110000000010101010100100100010010010010010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101101110010111000000001010101010010010001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110110111001011100000000101010101001001000100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111111111011011100101110000000010101111100100100010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011111111111101110010111000000001010111110010010001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111111111110111001011100000000101011111001001000100111111111101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111111111111011100101110000000010101111100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111101110010111000000001010101010010011001001111111111010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110001111001011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011000111100101110000000010101010100100110010010000000010100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100011110010111000000001010101010010011001001000000001010000000101000001101101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110001111001011100000000101010101001001100100100000000101000000010100000110110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011100111100101110000000010101010100100110010011111111110100000001010000011011010101011111100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110011110010111000000001010101010010011001001111111111010000000101000001001101010101111110010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111001111001011100000000101010101000100011100011111111101000000010100000100110101010111111001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011100111100101110000000010101010100010001110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101011110010111000000001010101010001000111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110101111001011100000000101010101000100011100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011010111100101110000000010101010100010001110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101011110010111000000001010101010001000111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111101111001011100000000101010101000100011100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011110111100101110000000010101010100010001110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111011110010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111101111001011100000000101010100000101000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011001111100101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100111110010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110011111001011100000000101010100000101000111101010101101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011001111100101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110111110010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111011111001011100000000101010100000101000111111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011101111100101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110111110010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110111111001011100000000101010101001001000100100100100101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011011111100101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101111110010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110111111001011100000000101010101001001000100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011111111100101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111111110010111000000001010101010010010001001111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111111111001011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011111111100101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100000001010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110000000101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011000000010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100000001010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111000000101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011100000010101110000000010101010100100110010011111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110000001010111000000001010101000001100111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111000000101011100000000101010100000110011100011111111101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011010000010101110000000010101010000011001110001111111110100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101000001010111000000001010101000001100111000001001001010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110100000101011100000000101010100000110011100000100100101000000010100000100110101000010001001001000000101100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011010000010101110000000010101010000011001110000010010010100000001010000010011010100001000100100100000010110010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111000001010111000000001010101000001100111000111111111010000000101000001001101010000100010010010000001011001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111100000101011100000000101010100000110011100011111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011110000010101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111000001010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110010000101011100000000101010100000101000111101010101101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011001000010101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001100100001010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110010000101011100000000101010100000101000111101010101101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011101000010101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001110100001010111000000001010101000001010001111111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111010000101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011101000010101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101100001010111000000001010101010010010001001001001001010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000110110000101011100000000101010101001001000100100000000101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011011000010101110000000010101010100100100010010000000010100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001101100001010111000000001010101010010010001001000000001010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111110000101011100000000101010101001001000100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011111000010101110000000010101010100100100010011111111110100000001010000010011010100001000100100100000100010010010011100000000010000000000100000000001000000000000000001110110010101000010000111100011110001111100001010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001110000000001000000000010000000000100000000000000000111011001010100001000011110001111000111110000101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100111000000000100000000001000000000010000000000000000011101100101010000100001111000111100011000100010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100010001010111000000001010101010010011001001000000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110001000101011100000000101010101001001100100100000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011000100010101110000000010101010100100110010010000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110010001010111000000001010101010010011001001111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111001000101011100000000101010101001001100100111111111101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011100100010101110000000010101010100011001110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110010001010111000000001010101010001100111000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110101000101011100000000101010101000110011100011111000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011010100010101110000000010101010100011001110001000000010100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101010001010111000000001010101010001100111000100000001010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110101000101011100000000101010101000110011100010000000101000000010100000100110101000010001001001000001000100100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011110100010101110000000010101010100011001110001111111110100000001010000010011010100001000100100100000100010010010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111010001010111000000001010101010001100111000111111111010000000101000001001101010000100010010010000010001001001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111101000101011100000000101010100000101000111111111111101000000010100000100110101000010001001001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011110100010101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100110001010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110011000101011100000000101010100000101000111101010101101000000010100000100110101000010001001001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011001100010101110000000010101010000010100011110101010110100000001010000010011010100001000100100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100110001010111000000001010101000001010001111010101011010000000101000001001101010000100010010010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111011000101011100000000101010100000101000111111111111101000000010100000100110101000010001001001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011101100010101110000000010101010000010100011111111111110100000001010000010011010100001000100100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110110001010111000000001010101010010010100000111111111010000000101000001001101010000100010010010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111011000101011100000000101010101001001010000011111111101000000010100000100110101000010001001001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011011100010101110000000010101010100100101000000010010010100000001010000010011010100001000100100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101110001010111000000001010101010010010100000000110001010000000101000001001101010000100010010010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110111000101011100000000101010101001001010000000011000101000000010100000100110101000010001001001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011011100010101110000000010101010100100101000000001100010100000001010000010011010100001000100100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111110001010111000000001010101010010010100000111111111010000000101000001001101010000100010010010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111111000101011100000000101010101001001010000011111111101000000010100000100110101000010001001001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011111100010101110000000010101010100100111000001111111110100000001010000010011010100001000100100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111110001010111000000001010101010010011100000111111111010000000101000001001101010000100010010010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110000100101011100000000101010101001001110000000000000101000000010100000100110101000010001001001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011000010010101110000000010101010100100111000000000000010100000001000000011011010100001000101100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100001001010111000000001010101010010011100000000000001010000000100000001101101010000100010110010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110000100101011100000000101010101001001110000000000000101000000010000000110110101000001011011001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011100010010101110000000010101010100100111000001111111110100000001000000011011010100000101101100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110001001010111000000001010101010010011100000111111111010000000100000001101101010000010110110010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111000100101011100000000101010100000101011100011111111101000000010000000110110101000001011011001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011100010010101110000000010101010000010101110001111111110100000001000000011011010100000101101100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101001001010111000000001010101000001010111000000000001010000000100000001101101010000010110110010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110100100101011100000000101010100000101011100000010100101000000010000000110110101000001011011001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011010010010101110000000010101010000010101110000001010010100000001000000011011010100000101101100100000100010110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101001001010111000000001010101000001010111000000101001010000000100000001101101010000010110110010000010001011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111100100101011100000000101010100000101011100011111111101000000010000000110110101000001011011001000001000101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011110010010101110000000010101010000010101110001111111110100000001000000011011010100000101101100100000010110110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111001001010111000000001010101010001010001111111111111010000000100000001101101010000010110110010000001011011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111100100101011100000000101010101000101000111111111111101000000010000000110110101000001011011001000000101101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011001010010101110000000010101010100010100011110101010110100000001000000011011010100000101101100100000010110110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100101001010111000000001010101010001010001111010101011010000000100000001101101010000010110110010000001011011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110010100101011100000000101010101000101000111101010101101000000010000000110110101000001011011001000000101101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011001010010101110000000010101010100010100011110101010110100000001000000011011010100000101101100100000010110110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110101001010111000000001010101010001010001111111111111010000000100000001101101010000010110110010000001011011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111010100101011100000000101010101000101000111111111111101000000010000000110110101000001011011001000000101101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011101010010101110000000010101010100100100001011111111110100000001000000011011010100000101101100100000010110110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110101001010111000000001010101010010010000101111111111010000000100000001101101010000010110110010000001011011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110110100101011100000000101010101001001000010100100100101000000010000000110110101000001011011001000000101101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011011010010101110000000010101010100100100001010000000010100000001000000011011010100000101101100100000010110110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101101001010111000000001010101010010010000101000000001010000000100000001101101010000010110110010000001011011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000110110100101011100000000101010101001001000010100000000101000000010000000110110101000001011011001000000101101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011111010010101110000000010101010100100100001011111111110100000001000000011011010100000101101100100000010110110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111101001010111000000001010101010010010000101111111111010000000100000001101101010000010110110010000001011011001001010000000000000000000000000000000000000000001000000111011001010100001000011110001111000111110100101011100000000101010101001001100010111111111101000000010000000110110101000001011011001000000101101100100101000000000000000000000000000000000000000000100000011101100101010000100001111000111100011111010010101110000000010101010100100110001011111111110100000001000000011011010100000101101100100000010110110010010100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100011001010111000000001010101010010011000101000000001010000000100000001101101010000010110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110001100101011100000000101010101001001100010100000000101000000010100000110110101000001011011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011000110010101110000000010101010100100110001010000000010100000001010000011011010100000101101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100011001010111000000001010101010010011000101000000001010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111001100101011100000000101010101001001100010111111111101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011100110010101110000000010101010100100110001011111111110100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110011001010111000000001010101010001010111000111111111010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111001100101011100000000101010101000101011100011111111101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011010110010101110000000010101010100010101110000000000010100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101011001010111000000001010101010001010111000100000001010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110101100101011100000000101010101000101011100010000000101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011010110010101110000000010101010100010101110001000000010100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111011001010111000000001010101010001010111000111111111010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111101100101011100000000101010101000101011100011111111101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011110110010101110000000010101010100010100011111111111110100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111011001010111000000001010101010001010001111111111111010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110011100101011100000000101010101000101000111101010101101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011001110010101110000000010101010100010100011110101010110100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100111001010111000000001010101010001010001111010101011010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111111110011100101011100000000101011111000101000111101010101101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111111111101110010101110000000010101111100010100011111111111110100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011111111110111001010111000000001010111110001010001111111111111010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111111111011100101011100000000101011111001001010000011111111101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111111111101110010101110000000010101111100100101000001111111110100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011111111101111001010111000000001010111110010010100000001001001010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111111110111100101011100000000101011111001001010000000011000101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111111111011110010101110000000010101111100100101000000001100010100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101111001010111000000001010101010010010100000000110001010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111111100101011100000000101010101001001010000011111111101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011111110010101110000000010101010100100101000001111111110100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111111001010111000000001010101010010011100000111111111010000000101000001101101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111111100101011100000000101010101001001110000011111111101000000010100000110110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011000001010101110000000010101010100100111000000000000010100000001010000011011010101011111101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100000101010111000000001010101010010011100000000000001010000000100000001011101010101111110110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110000010101011100000000101010101001001110000000000000101000000010000000101110101010111111011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011000001010101110000000010101010100100111000000000000010100000001000000010111010101011010101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110000101010111000000001010101010010011100000111111111010000000100000001011101010101101010110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111000010101011100000000101010101001001110000011111111101000000010000000101110101010110101011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011100001010101110000000010101010000011101110001111111110100000001000000010111010101011010101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110000101010111000000001010101000001110111000111111111010000000100000001011101010101101010110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110100010101011100000000101010100000111011100000000000101000000010000000101110101010110101011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011010001010101110000000010101010000011101110000010010010100000001000000010111010101011010101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101000101010111000000001010101000001110111000001001001010000000100000001011101010101101010110010000001011011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110100010101011100000000101010100000111011100000100100101000000010000000101110101010110101011001000000101101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011110001010101110000000010101010000011101110001111111110100000001000000010111010101011010101100100000010110110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111000101010111000000001010101000001110111000111111111010000000100000001011101010101101010110010010110101011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111100010101011100000000101010101000101000111111111111101000000010000000101110101010110101011001001011010101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011110001010101110000000010101010100010100011111111111110100000001000000010111010101011010101100100101101010110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100100101010111000000001010101010001010001111010101011010000000100000001011101010101101010110010010110101011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110010010101011100000000101010101000101000111101010101101000000010000000101110101010110101011001001011010101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011001001010101110000000010101010100010100011110101010110100000001000000010111010101011010101100100101101010110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001100100101010111000000001010101010001010001111010101011010000000100000001011101010101101010110010010110101011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111010010101011100000000101010101000101000111111111111101000000010000000101110101010110101011001001011010101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011101001010101110000000010101010100010100011111111111110100000001000000010111010101011010101100100101101010110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001110100101010111000000001010101010010010001001111111111010000000100000001011101010101101010110010010110101011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111010010101011100000000101010101001001000100111111111101000000010000000101110101010110101011001001011010101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011011001010101110000000010101010100100100010010010010010100000001000000010111010101011010101100100101101010110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001101100101010111000000001010101010010010001001000000001010000000100000001011101010101101010110010010110101011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110110010101011100000000101010101001001000100100000000101000000010000000101110101010110101011001001011010101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011011001010101110000000010101010100100100010010000000010100000001000000010111010101011010101100100101101010110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111100101010111000000001010101010010010001001111111111010000000100000001011101010101101010110010010110101011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000111110010101011100000000101010101001001000100111111111101000000010000000101110101010110101011001001011010101100100111000000000000000000000000000000000000000000100000011101100101010000100001111000111100011111001010101110000000010101010100100110010011111111110100000001000000010111010101011010101100100101101010110010011100000000000000000000000000000000000000000010000001110110010101000010000111100011110001111100101010111000000001010101010010011001001111111111010000000100000001011101010101101010110010010110101011001001110000000000000000000000000000000000000000001000000111011001010100001000011110001111000110001010101011100000000101010101001001100100100000000101000000010000000101110101010110101011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000101010101110000000010101010100100110010010000000010100000001010000010111010101011010101100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100010101010111000000001010101010010011001001000000001010000000101000001011101010101101010110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110001010101011100000000101010101001001100100100000000101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100101010101110000000010101010100100110010011111111110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110010101010111000000001010101010010011001001111111111010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111001010101011100000000101010101000111011100011111111101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100101010101110000000010101010100011101110001111111110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101010101010111000000001010101010001110111000000000001010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110101010101011100000000101010101000111011100000100100101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010101010101110000000010101010100011101110000010010010100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101010101010111000000001010101010001110111000001001001010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111101010101011100000000101010101000111011100011111111101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110101010101110000000010101010100011101110001111111110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111010101010111000000001010101010001010001111111111111010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111101010101011100000000101010101000101000111111111111101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001101010101110000000010101010100010100011110101010110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100110101010111000000001010101010001010001111010101011010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110011010101011100000000101010101000101000111101010101101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111111111001101010101110000000010101111100010100011110101010110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011111111110110101010111000000001010111110001010001111111111111010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111111111011010101011100000000101011111000101000111111111111101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111111111101101010101110000000010101111100100100010011111111110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011111111110110101010111000000001010111110010010001001111111111010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111111110111010101011100000000101011111001001000100100100100101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111111111011101010101110000000010101111100100100010010000000010100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011111111101110101010111000000001010111110010010001001000000001010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110111010101011100000000101010101001001000100100000000101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111101010101110000000010101010100100100010011111111110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111110101010111000000001010101010010010001001111111111010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111111010101011100000000101010101001001100100111111111101000000010100000101110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111101010101110000000010101010100100110010011111111110100000001010000010111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100001101010111000000001010101010010011001001000000001010000000101000001011101010111111000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110000110101011100000000101010101001001100100100000000101000000010000000111110101011111100011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000011010101110000000010101010100100110010010000000010100000001000000011111010101111110001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100001101010111000000001010101010010011001001000000001010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111000110101011100000000101010101001001100100111111111101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100011010101110000000010101010100100110010011111111110100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110001101010111000000001010101000001001111000111111111010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111000110101011100000000101010100000100111100011111111101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010011010101110000000010101010000010011110001111100010100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101001101010111000000001010101000001001111000001001001010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110100110101011100000000101010100000100111100000100100101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010011010101110000000010101010000010011110000010010010100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111001101010111000000001010101000001001111000111111111010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111100110101011100000000101010100000100111100011111111101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110011010101110000000010101010000011100011111111111110100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111001101010111000000001010101000001110001111111111111010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110010110101011100000000101010100000111000111101010101101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001011010101110000000010101010000011100011110101010110100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100101101010111000000001010101000001110001111010101011010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110010110101011100000000101010100000111000111101010101101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101011010101110000000010101010000011100011111111111110100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110101101010111000000001010101000001110001111111111111010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111010110101011100000000101010101001001000100111111111101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101011010101110000000010101010100100100010011111111110100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101101101010111000000001010101010010010001001001001001010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110110110101011100000000101010101001001000100100000000101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011011010101110000000010101010100100100010010000000010100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101101101010111000000001010101010010010001001000000001010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111110110101011100000000101010101001001000100111111111101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111011010101110000000010101010100100100010011111111110100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111101101010111000000001010101010010011001001111111111010000000100000001111101010111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111110110101011100000000101010101001001100100111111111101000000010000000111110101011100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000111010101110000000010101010100100110010010000000010100000001000000011111010101110000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100011101010111000000001010101010010011001001000000001010000000101100000111110011111000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110001110101011100000000101010101001001100100100000000101000000010110000011111001111100000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000111010101110000000010101010100100110010010000000010100000001011000001111100110101001101100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110011101010111000000001010101010010011001001111111111010000000101100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111001110101011100000000101010101001001100100111111111101000000010110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100111010101110000000010101010100010011110001111111110100000001011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110011101010111000000001010101010001001111000111111111010000000101100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110101110101011100000000101010101000100111100011111000101000000010110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010111010101110000000010101010100010011110000010010010100000001011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101011101010111000000001010101010001001111000001001001010000000101100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110101110101011100000000101010101000100111100000100100101000000010110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110111010101110000000010101010100010011110001111111110100000001011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111011101010111000000001010101010001001111000111111111010000000001100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111101110101011100000000101010100000111000111111111111101000000000110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110111010101110000000010101010000011100011111111111110100000000011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100111101010111000000001010101000001110001111010101011010000000001100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110011110101011100000000101010100000111000111101010101101000000000110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001111010101110000000010101010000011100011110101010110100000000011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100111101010111000000001010101000001110001111010101011010000000001100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111011110101011100000000101010100000111000111111111111101000000000110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101111010101110000000010101010000011100011111111111110100000000011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110111101010111000000001010101010010010001001111111111010000000001100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111011110101011100000000101010101001001000100111111111101000000000110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011111010101110000000010101010100100100010010010010010100000000011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101111101010111000000001010101010010010001001000000001010000000001100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110111110101011100000000101010101001001000100100000000101000000000110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011111010101110000000010101010100100100010010000000010100000000011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111111101010111000000001010101010010010001001111111111010000000001100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111111110101011100000000101010101001001000100111111111101000000000110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111111010101110000000010101010100100110010011111111110100000000011000001111100110000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111111101010111000000001010101010010011001001111111111010000000001100000111110011000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110000001101011100000000101010101001001100100100000000101000000000110000011111001100000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000000110101110000000010101010100100110010010000000010100000000010000011111010100000000001100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100000011010111000000001010101010010011001001000000001010000000001000001111101010000000000110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110000001101011100000000101010101001001100100100000000101000000000100000111110101000000000011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100000110101110000000010101010100100110010011111111110100000000010000011111010101011000101100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110000011010111000000001010101010010011001001111111111010000000001000001111101010101100010110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111000001101011100000000101010100000110111100011111111101000000000100000111110101010110001011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100000110101110000000010101010000011011110001111111110100000000010000011111010101011000101100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101000011010111000000001010101000001101111000111110001010000000001000001111101010101100010110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110100001101011100000000101010100000110111100000100100101000000000100000111110101010110001011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010000110101110000000010101010000011011110000010010010100000000010000011111010101011000101100100101101010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101000011010111000000001010101000001101111000001001001010000000001000001111101010101100010110010010110101011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111100001101011100000000101010100000110111100011111111101000000000100000111110101010110001011001001011010101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110000110101110000000010101010000011011110001111111110100000000010000011111010101011000101100100101100010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111000011010111000000001010101000001110001111111111111010000000001000001111101010101100010110010010110001011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111100001101011100000000101010100000111000111111111111101000000000100000111110101010110001011001001011000101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001000110101110000000010101010000011100011110101010110100000000010000011111010101011000101100100101100010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100100011010111000000001010101000001110001111010101011010000000001000001111101010101100010110010010110001011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110010001101011100000000101010100000111000111101010101101000000000100000111110101010110001011001001011000101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001000110101110000000010101010000011100011110101010110100000000010000011111010101011000101100100101100010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110100011010111000000001010101000001110001111111111111010000000001000001111101010101100010110010010110001011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111010001101011100000000101010100000111000111111111111101000000000100000111110101010110001011001001011000101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101000110101110000000010101010100100100010011111111110100000000010000011111010101011000101100100101100010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110100011010111000000001010101010010010001001111111111010000000001000001111101010101100010110010010110001011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110110001101011100000000101010101001001000100100100100101000000000100000111110101010110001011001001011000101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011000110101110000000010101010100100100010010000000010100000000010000011111010101011000101100100101100010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101100011010111000000001010101010010010001001000000001010000000001000001111101010101100010110010010110001011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000110110001101011100000000101010101001001000100100000000101000000000100000111110101010110001011001001011000101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111000110101110000000010101010100100100010011111111110100000000010000011111010101011000101100100101100010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111100011010111000000001010101010010010001001111111111010000000001000001111101010101100010110010010110001011001001010000000001000000000000000000000000000000001000000111011001010100001000011110001111000111110001101011100000000101010101001001100100111111111101000000000100000111110101010110001011001001011000101100100101000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111000110101110000000010101010100100110010011111111110100000000010000011111010101011000101100100101100010110010010100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100010011010111000000001010101010010011001001000000001010000000001000001111101010101100010110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110001001101011100000000101010101001001100100100000000101000000000000000100001101010110001011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000100110101110000000010101010100100110010010000000010100000000000000010000110101011000101100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100010011010111000000001010101010010011001001000000001010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111001001101011100000000101010101001001100100111111111101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100100110101110000000010101010100100110010011111111110100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110010011010111000000001010101010001101111000111111111010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111001001101011100000000101010101000110111100011111111101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010100110101110000000010101010100011011110001111100010100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101010011010111000000001010101010001101111000001001001010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110101001101011100000000101010101000110111100000100100101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010100110101110000000010101010100011011110000010010010100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111010011010111000000001010101010001101111000111111111010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111101001101011100000000101010101000110111100011111111101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110100110101110000000010101010000011100011111111111110100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111010011010111000000001010101000001110001111111111111010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110011001101011100000000101010100000111000111101010101101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001100110101110000000010101010000011100011110101010110100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100110011010111000000001010101000001110001111010101011010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110011001101011100000000101010100000111000111101010101101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101100110101110000000010101010000011100011111111111110100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110110011010111000000001010101000001110001111111111111010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111011001101011100000000101010101001001000100111111111101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101100110101110000000010101010100100100010011111111110100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101110011010111000000001010101010010010001001001001001010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110111001101011100000000101010101001001000100100000000101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011100110101110000000010101010100100100010010000000010100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101110011010111000000001010101010010010001001000000001010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111111001101011100000000101010101001001000100111111111101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111100110101110000000010101010100100100010011111111110100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111110011010111000000001010101010010011001001111111111010000000000000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111111001101011100000000101010101001001100100111111111101000000000000000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000010110101110000000010101010100100110010010000000010100000000000000010000110101010000001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100001011010111000000001010101010010011001001000000001010000000001000001000011010101000000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110000101101011100000000101010101001001100100100000000101000000000100000100001101010100000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000010110101110000000010101010100100110010010000000010100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110001011010111000000001010101010010011001001111111111010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111000101101011100000000101010101001001100100111111111101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100010110101110000000010101010000010111110001111111110100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110001011010111000000001010101000001011111000111111111010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110100101101011100000000101010100000101111100000000000101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010010110101110000000010101010000010111110000010010010100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101001011010111000000001010101000001011111000001001001010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110100101101011100000000101010100000101111100000100100101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110010110101110000000010101010000010111110001111111110100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111001011010111000000001010101000001011111000111111111010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111100101101011100000000101010101000111000111111111111101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110010110101110000000010101010100011100011111111111110100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100101011010111000000001010101010001110001111010101011010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110010101101011100000000101010101000111000111101010101101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001010110101110000000010101010100011100011110101010110100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100101011010111000000001010101010001110001111010101011010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111010101101011100000000101010101000111000111111111111101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101010110101110000000010101010100011100011111111111110100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110101011010111000000001010101010010010001001111111111010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111010101101011100000000101010101001001000100111111111101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011010110101110000000010101010100100100010010010010010100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101101011010111000000001010101010010010001001000000001010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110110101101011100000000101010101001001000100100000000101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011010110101110000000010101010100100100010010000000010100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111101011010111000000001010101010010010001001111111111010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111110101101011100000000101010101001001000100111111111101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111010110101110000000010101010100100110010011111111110100000000010000010000110100000010001100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111101011010111000000001010101010010011001001111111111010000000001000001000011010000001000110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110001101101011100000000101010101001001100100100000000101000000000100000100001101000000100011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000110110101110000000010101010100100110010010000000010100000000010001000100000000000010000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100011011010111000000001010101010010011001001000000001110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110001101101011100000000101010101001001100100100000000111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100110110101110000000010101010100100110010011111111111100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110011011010111000000001010101010010011001001111111111110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111001101101011100000000101010101000101111100011111111111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100110110101110000000010101010100010111110001111111111100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101011011010111000000001010101010001011111000000000001110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110101101101011100000000101010101000101111100000100100111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010110110101110000000010101010100010111110000010010011100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101011011010111000000001010101010001011111000001001001110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111101101101011100000000101010101000101111100011111111111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110110110101110000000010101010100010111110001111111111100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111011011010111000000001010101010001110001111111111111110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111101101101011100000000101010101000111000111111111111111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001110110101110000000010101010100011100011110101010111000000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100111011010111000000001010101010001110001111010101011100000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110011101101011100000000101010101000111000111101010101110000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001110110101110000000010101010100011100011110101010111000000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110111011010111000000001010101010001110001111111111111110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111011101101011100000000101010101000111000111111111111111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101110110101110000000010101010100100100010011111111111100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110111011010111000000001010101010010010001001111111111110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110111101101011100000000101010101001001000100100100100111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011110110101110000000010101010100100100010010000000011100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101111011010111000000001010101010010010001001000000001110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110111101101011100000000101010101001001000100100000000111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111110110101110000000010101010100100100010011111111111100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111111011010111000000001010101010010010001001111111111110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111111101101011100000000101010101001001100100111111111111000000000100010001000000000000000000000001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111110110101110000000010101010100100110010011111111111100000000010001000100000000000000000000000101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100000111010111000000001010101010010011001001000000001110000000001000100010000000000000000000000010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110000011101011100000000101010101001001100100100000000111000000000000000110001101000000000011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000001110101110000000010101010100100110010010000000010100000000000000011000110101111111101100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100000111010111000000001010101010010011001001000000001010000000000000001100011010000010100110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111000011101011100000000101010101001001100100111111111101000000000000000110001101010110101011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011100001110101110000000010101010100100110010011111111110100000000000000011000110101011010101100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110000111010111000000001010101000001111111000111111111010000000000000001100011010101101010110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111000011101011100000000101010100000111111100011111111101000000000000000110001101010110101011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010001110101110000000010101010000011111110000000000010100000000000000011000110101011010101100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101000111010111000000001010101000001111111000001001001010000000000000001100011010101101010110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110100011101011100000000101010100000111111100000100100101000000000000000110001101010110101011001001011000101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011010001110101110000000010101010000011111110000010010010100000000000000011000110101011010101100100101100010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111000111010111000000001010101000001111111000111111111010000000000000001100011010101101010110010010110001011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111100011101011100000000101010100000111111100011111111101000000000000000110001101010110101011001001011010101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011110001110101110000000010101010100011100011111111111110100000000000000011000110101011010101100100101101010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111000111010111000000001010101010001110001111111111111010000000000000001100011010101101010110010010110101011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110010011101011100000000101010101000111000111101010101101000000000000000110001101010110101011001001011010101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011001001110101110000000010101010100011100011110101010110100000000000000011000110101011010101100100101101010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001100100111010111000000001010101010001110001111010101011010000000000000001100011010101101010110010010110101011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110010011101011100000000101010101000111000111101010101101000000000000000110001101010110101011001001011010101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101001110101110000000010101010100011100011111111111110100000000000000011000110101011010101100100101101010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001110100111010111000000001010101010001110001111111111111010000000000000001100011010101101010110010010110101011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111010011101011100000000101010101001001000100111111111101000000000000000110001101010110101011001001011010101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011101001110101110000000010101010100100100010011111111110100000000000000011000110101011010101100100101101010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101100111010111000000001010101010010010001001001001001010000000000000001100011010101101010110010010110101011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000110110011101011100000000101010101001001000100100000000101000000000000000110001101010110101011001001011010101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011011001110101110000000010101010100100100010010000000010100000000000000011000110101011010101100100101101010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001101100111010111000000001010101010010010001001000000001010000000000000001100011010101101010110010010110101011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111110011101011100000000101010101001001000100111111111101000000000000000110001101010110101011001001011010101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011111001110101110000000010101010100100100010011111111110100000000000000011000110101011010101100100101101010110010011100000000010000000000000000000000000000000010000001110110010101000010000111100011110001111100111010111000000001010101010010011001001111111111010000000000000001100011010101101010110010010110101011001001110000000001000000000000000000000000000000001000000111011001010100001000011110001111000111110011101011100000000101010101001001100100111111111101000000000000000110001101010110101011001001011010101100100111000000000100000000000000000000000000000000100000011101100101010000100001111000111100011000101110101110000000010101010100100110010010000000010100000000000000011000110101011010101100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100010111010111000000001010101010010011001001000000001010000000001000001100011010101101010110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110001011101011100000000101010101001001100100100000000101000000000100000110001101010110101011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011000101110101110000000010101010100100110010010000000010100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110010111010111000000001010101010010011001001111111111010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111001011101011100000000101010101001001100100111111111101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011100101110101110000000010101010100011111110001111111110100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110010111010111000000001010101010001111111000111111111010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110101011101011100000000101010101000111111100000000000101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011010101110101110000000010101010100011111110000010010010100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101010111010111000000001010101010001111111000001001001010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110101011101011100000000101010101000111111100000100100101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011110101110101110000000010101010100011111110001111111110100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111010111010111000000001010101010001111111000111111111010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111101011101011100000000101010101000111000111111111111101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011110101110101110000000010101010100011100011111111111110100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100110111010111000000001010101010001110001111010101011010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110011011101011100000000101010101000111000111101010101101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011001101110101110000000010101010100011100011110101010110100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100110111010111000000001010101010001110001111010101011010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111011011101011100000000101010101000111000111111111111101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011101101110101110000000010101010100011100011111111111110100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110110111010111000000001010101010010010001001111111111010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111011011101011100000000101010101001001000100111111111101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011011101110101110000000010101010100100100010010010010010100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101110111010111000000001010101010010010001001000000001010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110111011101011100000000101010101001001000100100000000101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011011101110101110000000010101010100100100010010000000010100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111110111010111000000001010101010010010001001111111111010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111111011101011100000000101010101001001000100111111111101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011111101110101110000000010101010100100110010011111111110100000000010000011000110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111110111010111000000001010101010010011001001111111111010000000001000001100011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110000111101011100000000101010101001001100100100000000101000000000100000110001101000000010011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011000011110101110000000010101010100100110010010000000010100000000000000010100110100000001001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100001111010111000000001010101010010011001001000000001010000000000000001010011010000000100110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110000111101011100000000101010101001001100100100000000101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011100011110101110000000010101010100100110010011111111110100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110001111010111000000001010101010010011001001111111111010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111000111101011100000000101010100100100001100011111111101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011100011110101110000000010101010010010000110001111111110100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101001111010111000000001010101001001000011000111000001010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110100111101011100000000101010100100100001100000100100101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011010011110101110000000010101010010010000110000010010010100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101001111010111000000001010101001001000011000001001001010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111100111101011100000000101010100100100001100011111111101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011110011110101110000000010101010010010000110001111111110100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111001111010111000000001010101001001000001111111111111010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111100111101011100000000101010100100100000111111111111101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011001011110101110000000010101010010010000011110000000010100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100101111010111000000001010101001001000001111000000001010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110010111101011100000000101010100100100000111100000000101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011001011110101110000000010101010010010000011110000000010100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110101111010111000000001010101001001000001111111111111010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111010111101011100000000101010100100100000111111111111101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011101011110101110000000010101010100100100010011111111110100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110101111010111000000001010101010010010001001111111111010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110110111101011100000000101010101001001000100100100100101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011011011110101110000000010101010100100100010010000000010100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101101111010111000000001010101010010010001001000000001010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110110111101011100000000101010101001001000100100000000101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011111011110101110000000010101010100100100010011111111110100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111101111010111000000001010101010010010001001111111111010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111110111101011100000000101010101001001100100111111111101000000000000000101001101011100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011111011110101110000000010101010100100110010011111111110100000000000000010100110101110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100011111010111000000001010101010010011001001000000001010000000000000001010011010111000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110001111101011100000000101010101001001100100100000000101000000000010000000000101111100000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011000111110101110000000010101010100100110010010000000010100000000001000000000010111110000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100011111010111000000001010101010010011001001000000001010000000000100000000001011000010110110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111001111101011100000000101010101001001100100111111111101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011100111110101110000000010101010100100110010011111111110100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110011111010111000000001010101011001000011000111111111010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111001111101011100000000101010101100100001100011111111101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011010111110101110000000010101010110010000110001110000010100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101011111010111000000001010101011001000011000001001001010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110101111101011100000000101010101100100001100000100100101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011010111110101110000000010101010110010000110000010010010100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111011111010111000000001010101011001000011000111111111010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111101111101011100000000101010101100100001100011111111101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011110111110101110000000010101010010010000011111111111110100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111011111010111000000001010101001001000001111111111111010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110011111101011100000000101010100100100000111100000000101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011001111110101110000000010101010010010000011110000000010100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001100111111010111000000001010101001001000001111000000001010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110011111101011100000000101010100100100000111100000000101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011101111110101110000000010101010010010000011111111111110100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001110111111010111000000001010101001001000001111111111111010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111011111101011100000000101010101001001000100111111111101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011101111110101110000000010101010100100100010011111111110100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101111111010111000000001010101010010010001001001001001010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000110111111101011100000000101010101001001000100100000000101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011011111110101110000000010101010100100100010010000000010100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001101111111010111000000001010101010010010001001000000001010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111111111101011100000000101010101001001000100111111111101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011111111110101110000000010101010100100100010011111111110100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100011110001111111111010111000000001010101010010011001001111111111010000000000100000000001011000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110001111000111111111101011100000000101010101001001100100111111111101000000000010000000000101100000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000111100011000000001101110000000010101010100100110010010000000010100000000001000000000010110000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100000000110111000000001010000010010011001001000000001010000000001000001010011010000000000110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000010000000011011100000000101000001001001100100100000000101000000000100000101001101000000000011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001000000001101110000000010100000100100110010010000000010100000000010000010100110100000000001100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110000000110111000000001010000010010011001001111111111010000000001000001010011010101100010110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000011000000011011100000000101000001001001100100111111111101000000000100000101001101010110001011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001100000001101110000000010100000100100110010011111111110100000000010000010100110101011000101100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110000000110111000000001010000010010011001001111111111010000000001000001010011010101100010110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000010100000011011100000000101000001001001100100100000000101000000000100000101001101010110001011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001010000001101110000000010100000100100110010010000000010100000000010000010100110101011000101100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101000000110111000000001010000010010011001001000000001010000000001000001010011010101100010110010010110101011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000010100000011011100000000101000001001001100100100000000101000000000100000101001101010110001011001001011010101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001110000001101110000000010100000100100110010011111111110100000000010000010100110101011000101100100101101010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111000000110111000000001010000010010011001001111111111010000000001000001010011010101100010110010010110001011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000011100000011011100000000101000001001001100100111111111101000000000100000101001101010110001011001001011000101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001110000001101110000000010100000100100110010011111111110100000000010000010100110101011000101100100101100010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100100000110111000000001010000010010011001001000000001010000000001000001010011010101100010110010010110001011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000010010000011011100000000101000001001001100100100000000101000000000100000101001101010110001011001001011000101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001001000001101110000000010100000100100110010010000000010100000000010000010100110101011000101100100101100010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100100000110111000000001010000010010011001001000000001010000000001000001010011010101100010110010010110001011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000011010000011011100000000101000001001001100100111111111101000000000100000101001101010110001011001001011000101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001101000001101110000000010100000011001101111111111111110100000000010000010100110101011000101100100101100010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110100000110111000000001010000001100110111111111111111010000000001000001010011010101100010110010010110001011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000011010000011011100000000101000000110011011111111111111101000000000100000101001101010110001011001001011000101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001011000001101110000000010100000011001101111110001110010100000000010000010100110101011000101100100101100010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101100000110111000000001010000001100110111111000111001010000000001000001010011010101100010110010010110001011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000010110000011011100000000101000000110011011111100011100101000000000100000101001101010110001011001001011000101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001011000001101110000000010100000011001101111110001110010100000000010000010100110101011000101100100101100010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111100000110111000000001010000001100110111111111111111010000000001000001010011010101100010110010010110001011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000011110000011011100000000101000000110011111111111111111101000000000100000101001101010110001011001001011000101100100101000000000000000000001000000000000000000000100000011101100101010000100001111000000000001111000001101110000000010100000011001111111111111111110100000000010000010100110101011000101100100101100010110010010100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111100000110111000000001010000001100111111111111111111010000000001000001010011010101100010110010010110001011001001010000000000000000000010000000000000000000001000000111011001010100001000011110000000000010001000011011100000000101000000110011111111100010000101000000000100000101001101010110001011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001000100001101110000000010100000011001111111110001000010100000000000000011100110101011000101100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100010000110111000000001010000001100111111111000100001010000000000000001110011010101100010110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010001000011011100000000101000000110011111111100010000101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001100100001101110000000010100000011001111111111111111110100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110010000110111000000001010000001100111111111111111111010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011001000011011100000000101000000110011111111111111111101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001100100001101110000000010100000011001111111111111111110100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101010000110111000000001010000001100111111111000100001010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010101000011011100000000101000000110011111111100010000101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001010100001101110000000010100000011001111111110001000010100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101010000110111000000001010000001100111111111000100001010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011101000011011100000000101000000110011111111111111111101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001110100001101110000000010100000011001111111111111111110100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111010000110111000000001010000001100111111111111111111010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011101000011011100000000101000000110011111111111111111101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001001100001101110000000010100000011001111111110001000010100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100110000110111000000001010000001100111111111000100001010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010011000011011100000000101000000110011111111100010000101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001001100001101110000000010100000011001111111110001000010100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110110000110111000000001010000001100111111111111111111010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011011000011011100000000101000001110000011111111111111101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001101100001101110000000010100000111000001111111111111110100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110110000110111000000001010000011100000111111111111111010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010111000011011100000000101000001110000011111100000000101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001011100001101110000000010100000111000001111110000000010100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101110000110111000000001010000011100000111111000000001010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010111000011011100000000101000001110000011111100000000101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001111100001101110000000010100000111000001111111111111110100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111110000110111000000001010000011100001111111111111111010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011111000011011100000000101000001110000111111111111111101000000000000000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001111100001101110000000010100000111000011111111111111110100000000000000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100001000110111000000001010000011100001111111000000001010000000000000001110011010101000000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010000100011011100000000101000001110000111111100000000101000000000100000111001101010100000011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001000010001101110000000010100000111000011111110000000010100000000010000011100110101010000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100001000110111000000001010000011100001111111000000001010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011000100011011100000000101000001110000111111111111111101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001100010001101110000000010100000111000011111111111111110100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110001000110111000000001010000011100001111111111111111010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011000100011011100000000101000001110000111111111111111101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001010010001101110000000010100000111000011111110000000010100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101001000110111000000001010000011100001111111000000001010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010100100011011100000000101000001110000111111100000000101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001010010001101110000000010100000111000011111110000000010100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111001000110111000000001010000011100001111111111111111010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011100100011011100000000101000001110000111111111111111101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001110010001101110000000010100000111000011111111111111110100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111001000110111000000001010000011100001111111111111111010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010010100011011100000000101000001110000111111100000000101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001001010001101110000000010100000111000011111110000000010100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100101000110111000000001010000011100001111111000000001010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010010100011011100000000101000001110000111111100000000101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001101010001101110000000010100000111000011111111111111110100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110101000110111000000001010000001100000111111111111111010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011010100011011100000000101000000110000011111111111111101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001101010001101110000000010100000011000001111111111111110100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101101000110111000000001010000001100000111111000000001010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010110100011011100000000101000000110000011111100000000101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001011010001101110000000010100000011000001111110000000010100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101101000110111000000001010000001100000111111000000001010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011110100011011100000000101000000110000011111111111111101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001111010001101110000000010100000011000011111111111111110100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111101000110111000000001010000001100001111111111111111010000000001000001110011010000001000110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011110100011011100000000101000000110000111111111111111101000000000100000111001101000000100011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001000110001101110000000010100000011000011111110000000010100000000010000011100110100000010001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100011000110111000000001010000001100001111111000000001010000000001000100010000000000001000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010001100011011100000000101000000110000111111100000000111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001000110001101110000000010100000011000011111110000000011100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110011000110111000000001010000001100001111111111111111110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011001100011011100000000101000000110000111111111111111111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001100110001101110000000010100000011000011111111111111111100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110011000110111000000001010000001100001111111111111111110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010101100011011100000000101000000110000111111100000000111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001010110001101110000000010100000011000011111110000000011100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101011000110111000000001010000001100001111111000000001110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010101100011011100000000101000000110000111111100000000111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001110110001101110000000010100000011000011111111111111111100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111011000110111000000001010000001100001111111111111111110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011101100011011100000000101000000110000111111111111111111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001110110001101110000000010100000011000011111111111111111100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100111000110111000000001010000001100001111111000000001100000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010011100011011100000000101000000110000111111100000000110000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001001110001101110000000010100000011000011111110000000011000000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100111000110111000000001010000001100001111111000000001100000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011011100011011100000000101000000110000111111111111111111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001101110001101110000000010100000011000001111111111111111100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110111000110111000000001010000001100000111111111111111110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011011100011011100000000101000000110000011111111111111111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001011110001101110000000010100000011000001111110000000011100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101111000110111000000001010000001100000111111000000001110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010111100011011100000000101000000110000011111100000000111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001011110001101110000000010100000011000001111110000000011100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111111000110111000000001010000001100000111111111111111110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011111100011011100000000101000000110000111111111111111111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001111110001101110000000010100000011000011111111111111111100000000010001000100000000000000000000000101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111111000110111000000001010000001100001111111111111111110000000001000100010000000000000000000000010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010000010011011100000000101000000110000111111100000000111000000000100010001000000000000000000000001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001000001001101110000000010100000011000011111110000000011100000000000000010010110100000000001100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100000100110111000000001010000001100001111111000000001010000000000000001001011010111111110110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010000010011011100000000101000000110000111111100000000101000000000000000100101101011111111011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001100001001101110000000010100000011000011111111111111110100000000000000010010110101011010101100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110000100110111000000001010000001100001111111111111111010000000000000001001011010101101010110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011000010011011100000000101000000110000111111111111111101000000000000000100101101010110101011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001100001001101110000000010100000011000011111111111111110100000000000000010010110101011010101100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101000100110111000000001010000001100001111111000000001010000000000000001001011010101101010110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010100010011011100000000101000000110000111111100000000101000000000000000100101101010110101011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001010001001101110000000010100000011000011111110000000010100000000000000010010110101011010101100100101100010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101000100110111000000001010000001100001111111000000001010000000000000001001011010101101010110010010110001011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011100010011011100000000101000000110000111111111111111101000000000000000100101101010110101011001001011000101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001110001001101110000000010100000011000011111111111111110100000000000000010010110101011010101100100101101010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111000100110111000000001010000001100001111111111111111010000000000000001001011010101101010110010010110101011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011100010011011100000000101000000110000111111111111111101000000000000000100101101010110101011001001011010101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001001001001101110000000010100000011000011111110000000010100000000000000010010110101011010101100100101101010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100100100110111000000001010000001100001111111000000001010000000000000001001011010101101010110010010110101011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010010010011011100000000101000000110000111111100000000101000000000000000100101101010110101011001001011010101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001001001001101110000000010100000011000011111110000000010100000000000000010010110101011010101100100101101010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110100100110111000000001010000001100001111111111111111010000000000000001001011010101101010110010010110101011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011010010011011100000000101000000110000011111111111111101000000000000000100101101010110101011001001011010101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001101001001101110000000010100000011000001111111111111110100000000000000010010110101011010101100100101101010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000110100100110111000000001010000001100000111111111111111010000000000000001001011010101101010110010010110101011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010110010011011100000000101000000110000011111100000000101000000000000000100101101010110101011001001011010101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001011001001101110000000010100000011000001111110000000010100000000000000010010110101011010101100100101101010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000101100100110111000000001010000001100000111111000000001010000000000000001001011010101101010110010010110101011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000010110010011011100000000101000000110000011111100000000101000000000000000100101101010110101011001001011010101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001111001001101110000000010100000011000001111111111111110100000000000000010010110101011010101100100101101010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000111100100110111000000001010000001100001111111111111111010000000000000001001011010101101010110010010110101011001001110000000000000000000010000000000000000000001000000111011001010100001000011110000000000011110010011011100000000101000000110000111111111111111101000000000000000100101101010110101011001001011010101100100111000000000000000000001000000000000000000000100000011101100101010000100001111000000000001111001001101110000000010100000011000011111111111111110100000000000000010010110101011010101100100101101010110010011100000000000000000000100000000000000000000010000001110110010101000010000111100000000000100010100110111000000001010000001100001111111000000001010000000000000001001011010101101010110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010001010011011100000000101000000110000111111100000000101000000000100000100101101010110101011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001000101001101110000000010100000011000011111110000000010100000000010000010010110101011010101100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100010100110111000000001010000001100001111111000000001010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011001010011011100000000101000000110000111111111111111101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001100101001101110000000010100000011000011111111111111110100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110010100110111000000001010000001100001111111111111111010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011001010011011100000000101000000110000111111111111111101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001010101001101110000000010100000011000011111110000000010100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101010100110111000000001010000001100001111111000000001010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010101010011011100000000101000000110000111111100000000101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001010101001101110000000010100000011000011111110000000010100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111010100110111000000001010000001100001111111111111111010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011101010011011100000000101000000110000111111111111111101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001110101001101110000000010100000011000011111111111111110100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111010100110111000000001010000001100001111111111111111010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010011010011011100000000101000000110000111111100000000101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001001101001101110000000010100000011000011111110000000010100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100110100110111000000001010000001100001111111000000001010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010011010011011100000000101000000110000111111100000000101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001101101001101110000000010100000011000011111111111111110100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110110100110111000000001010000001100000111111111111111010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011011010011011100000000101000000110000011111111111111101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001101101001101110000000010100000011000001111111111111110100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101110100110111000000001010000001100000111111000000001010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010111010011011100000000101000000110000011111100000000101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001011101001101110000000010100000011000001111110000000010100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101110100110111000000001010000001100000111111000000001010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011111010011011100000000101000000110000011111111111111101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001111101001101110000000010100000011000011111111111111110100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111110100110111000000001010000001100001111111111111111010000000001000001001011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011111010011011100000000101000000110000111111111111111101000000000100000100101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001000011001101110000000010100000011000011111110000000010100000000010000010010110100001111001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100001100110111000000001010000001100001111111000000001010000000000000001101011010000111100110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010000110011011100000000101000000110000111111100000000101000000000000000110101101000011110011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001000011001101110000000010100000011000011111110000000010100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110001100110111000000001010000001100001111111111111111010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011000110011011100000000101000000110000111111111111111101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001100011001101110000000010100000011000011111111111111110100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110001100110111000000001010000001100001111111111111111010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010100110011011100000000101000000110000111111100000000101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001010011001101110000000010100000011000011111110000000010100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101001100110111000000001010000001100001111111000000001010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010100110011011100000000101000000110000111111100000000101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001110011001101110000000010100000011000011111111111111110100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111001100110111000000001010000001100001111111111111111010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011100110011011100000000101000000110000111111111111111101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001110011001101110000000010100000011000011111111111111110100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100101100110111000000001010000001100001111111000000001010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010010110011011100000000101000000110000111111100000000101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001001011001101110000000010100000011000011111110000000010100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100101100110111000000001010000001100001111111000000001010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011010110011011100000000101000000110000111111111111111101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001101011001101110000000010100000011000001111111111111110100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110101100110111000000001010000001100000111111111111111010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011010110011011100000000101000000110000011111111111111101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001011011001101110000000010100000011000001111110000000010100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101101100110111000000001010000001100000111111000000001010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010110110011011100000000101000000110000011111100000000101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001011011001101110000000010100000011000001111110000000010100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111101100110111000000001010000001100000111111111111111010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011110110011011100000000101000000110000111111111111111101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001111011001101110000000010100000011000011111111111111110100000000000000011010110101110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111101100110111000000001010000001100001111111111111111010000000000000001101011010111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010001110011011100000000101000000110000111111100000000101000000000000000110101101011100000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001000111001101110000000010100000011000011111110000000010100000000001000000011110111110000001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100011100110111000000001010000001100001111111000000001010000000000100000001111011111000000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010001110011011100000000101000000110000111111100000000101000000000010000000111101111111111011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001100111001101110000000010100000011000011111111111111110100000000001000000011110110000100001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110011100110111000000001010000001100001111111111111111010000000000100000001111011000010000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011001110011011100000000101000000110000111111111111111101000000000010000000111101100001000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001100111001101110000000010100000011000011111111111111110100000000001000000011110110000100001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101011100110111000000001010000001100001111111000000001010000000000100000001111011000010000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010101110011011100000000101000000110000111111100000000101000000000010000000111101100001000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001010111001101110000000010100000011000011111110000000010100000000001000000011110110000100001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101011100110111000000001010000001100001111111000000001010000000000100000001111011000010000110010010110101011001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011101110011011100000000101000000110000111111111111111101000000000010000000111101100001000011001001011010101100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001110111001101110000000010100000011000011111111111111110100000100001000000011110110000100001100100101101010110010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111011100110111000000001010000001100001111111111111111010000010000100000001111011000010000110010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011101110011011100000000101000000110000111111111111111101000001000010000000111101100001000011001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001001111001101110000000010100000011000011111110000000010100000100001000000011110110000100001100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100111100110111000000001010000001100001111111000000001010000010000100000001111011000010000110010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010011110011011100000000101000000110000111111100000000101000001000010000000111101100001000011001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001001111001101110000000010100000011000011111110000000010100000100001000000011110110000100001100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110111100110111000000001010000001100001111111111111111010000010000100000001111011000010000110010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011011110011011100000000101000000110000011111111111111101000001000010000000111101100001000011001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001101111001101110000000010100000011000001111111111111110100000100001000000011110110000100001100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110111100110111000000001010000001100000111111111111111010000010000100000001111011000010000110010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010111110011011100000000101000000110000011111100000000101000001000010000000111101100001000011001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001011111001101110000000010100000011000001111110000000010100000100001000000011110110000100001100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101111100110111000000001010000001100000111111000000001010000010000100000001111011000010000110010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010111110011011100000000101000000110000011111100000000101000001000010000000111101100001000011001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001111111001101110000000010100000011000001111111111111110100000100001000000011110110000100001100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111111100110111000000001010000001100001111111111111111010000010000100000001111011000010000110010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011111110011011100000000101000000110000111111111111111101000001000010000000111101100001000011001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001111111001101110000000010100000011000011111111111111110100000100001000000011110110000100001100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100000010110111000000001010000001100001111111000000001010000010000100000001111011000010000110010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010000001011011100000000101000000110000111111100000000101000001000100000110101101000001000001001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001000000101101110000000010100000011000011111110000000010100000100010000011010110100000100000100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100000010110111000000001010000001100001111111000000001010000010001000001101011010000000000010010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011000001011011100000000101000000110000111111111111111101000001000100000110101101000010010001001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001100000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110000010110111000000000010000011100111111111111111111010000010001000001101011010000100100010010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011000001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001010000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101000010110111000000000010000011100111111111111111111010000010001000001101011010000100100010010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010100001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001001011010100100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001010000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100101101010010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111000010110111000000000010000011100111111111111111111010000010001000001101011010000100100010010010110101001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011100001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001000001001000100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001110000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100000100100010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111000010110111000000000010000011100111111111111111111010000010001000001101011010000100100010010000010010001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010010001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001000001001000100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001001000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100000100100010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100100010110111000000000010000011100111111111111111111010000010001000001101011010000100100010010000010010001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010010001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001000001001000100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001101000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100000100100010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110100010110111000000000010000011100110111111111111111010000010001000001101011010000100100010010000010010001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011010001011011100000000001000001110011011111111111111101000001000100000110101101000010010001001000001001000100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001101000101101110000000000100000111001101111111111111110100000100010000011010110100001001000100100000100100010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101100010110111000000000010000011100110111111111111111010000010001000001101011010000100100010010000010010001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000010110001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001000001001000100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001011000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100000100100010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000101100010110111000000000010000011100111111111111111111010000010001000001101011010000100100010010000010010001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011110001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001000001001000100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001111000101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100000100100010010010100000000010000000000100000000000000000000010000001110110010101000010000111100000000000111100010110111000000000010000011100111111111111111111010000010001000001101011010000100100010010000010010001001001010000000001000000000010000000000000000000001000000111011001010100001000011110000000000011110001011011100000000001000001110011111111111111111101000001000100000110101101000010010001001000001001000100100101000000000100000000001000000000000000000000100000011101100101010000100001111000000000001000100101101110000000000100000111001111111111111111110100000100010000011010110100001001000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000100010010110111000000000010000001100001111111111111111010000010001000001011011010000100100010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000010001001011011100000000001000000110000111111111111111101000001000100000101101101000010010001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000001000100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110010010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000011001001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000001100100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000110010010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000010101001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000001010100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001010010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000101001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000110100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011010010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001101001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000110100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000000110010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000011001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000001100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000000110010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001011001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000101100101101110000000000100000011000001111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000010110010110111000000000010000001100000111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001011001011011100000000001000000110000011111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000011100101101110000000000100000011000001111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001110010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000111001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000011100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011110010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001111001011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000111100101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011110010110111000000000010000001100001111111111111111010000010001000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000000101011011100000000001000000110000111111111111111101000001000100000101101101000000000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000000010101101110000000000100000011000011111111111111110100000100000000000111111100000000000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000000001010110111000000000010000001100001111111111111111110000010000000000011111110000000000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000000101011011100000000001000000110000111111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000100010101101110000000000100000011000011111111111111111100000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000010001010110111000000000010000001100001111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001000101011011100000000001000000110000111111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000100010101101110000000000100000011000011111111111111111100000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001001010110111000000000010000001100001111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000100101011011100000000001000000110000111111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000010010101101110000000000100000011000011111111111111111100000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001001010110111000000000010000001100001111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001100101011011100000000001000000110000111111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000110010101101110000000000100000011000011111111111111111100000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011001010110111000000000010000001100001111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001100101011011100000000001000000110000111111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000001010101101110000000000100000011000011111111111111111000000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000000101010110111000000000010000001100001111111111111111100000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000010101011011100000000001000000110000111111111111111110000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000001010101101110000000000100000011000011111111111111111000000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000010101010110111000000000010000001100001111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001010101011011100000000001000000110000011111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000101010101101110000000000100000011000001111111111111111100000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000010101010110111000000000010000001100000111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000110101011011100000000001000000110000011111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000011010101101110000000000100000011000011111111111111111100000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001101010110111000000000010000001100001111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000110101011011100000000001000000110000111111111111111111000001000000000001111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000111010101101110000000000100000011000011111111111111111100000100000000000111111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011101010110111000000000010000001100001111111111111111110000010000000000011111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001110101011011100000000001000000110000111111111111111111000001000100000010111111000001000000010000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000111010101101110000000000100000011000011111111111111111100000100010000001011111100000100000001000000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000000011010110111000000000010000001100001111111111111111110000010001000000101111110000010000000100000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000001101011011100000000001000000110000111111111111111111000001000000000101101101000001000001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000000110101101110000000000100000011000011111111111111110100000100000000010110110101111111100100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000000011010110111000000000010000001100001111111111111111010000010000000001011011010000000000010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001001101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000100110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000010011010110111000000000010000001100001111111111111111010000010000000001011011010101100010010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001001101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000010110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001011010110111000000000010000001100001111111111111111010000010000000001011011010101100010010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000101101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001000001001000100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000010110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100000100100010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011011010110111000000000010000001100001111111111111111010000010000000001011011010101100010010010000010010001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001101101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001001011000100100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000110110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100101100010010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011011010110111000000000010000001100001111111111111111010000010000000001011011010101100010010010010110001001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000011101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001001011000100100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000001110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100101100010010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000000111010110111000000000010000001100001111111111111111010000010000000001011011010101100010010010010110001001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000011101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001001011000100100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000101110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100101100010010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000010111010110111000000000010000001100000111111111111111010000010000000001011011010101100010010010010110001001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001011101011011100000000001000000110000011111111111111101000001000000000101101101010110001001001001011000100100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000101110101101110000000000100000011000001111111111111110100000100000000010110110101011000100100100101100010010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001111010110111000000000010000001100000111111111111111010000010000000001011011010101100010010010010110001001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000000111101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001001011000100100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000011110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100101100010010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000001111010110111000000000010000001100001111111111111111010000010000000001011011010101100010010010010110001001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001111101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001001011000100100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000111110101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100101100010010010011100000000010000000000100000000000000000000010000001110110010101000010000111100000000000011111010110111000000000010000001100001111111111111111010000010000000001011011010101100010010010010110001001001001110000000001000000000010000000000000000000001000000111011001010100001000011110000000000001111101011011100000000001000000110000111111111111111101000001000000000101101101010110001001001001011000100100100111000000000100000000001000000000000000000000100000011101100101010000100001111000000000000000001101101110000000000100000011000011111111111111110100000100000000010110110101011000100100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000000110110111000000000010000001100001111111111111111010000010001000001011011010101100010010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000000011011011100000000001000000110000111111111111111101000001000100000101101101010110001001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000000001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010000110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001000011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000100001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010000110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000100011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000010001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001000110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000100011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000110001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011000110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001100011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000110001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000100110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000010011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000001001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000100110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001010011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000101001101101110000000000100000011000001111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010100110110111000000000010000001100000111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001010011011011100000000001000000110000011111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000011001101101110000000000100000011000001111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001100110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000110011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000011001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011100110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001110011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000111001101101110000000000100000011000011111111111111110100000100010000010110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011100110110111000000000010000001100001111111111111111010000010001000001011011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000001011011011100000000001000000110000111111111111111101000001000100000101101101000000000001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000000101101101110000000000100000011000011111111111111110100000100000000011110110100000000000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000010110110111000000000010000001100001111111111111111010000010000000001111011010000000000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000001011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000100101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010010110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001001011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000100101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001010110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000101011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000010101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001010110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001101011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000110101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011010110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001101011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000001101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000110110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000011011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000001101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010110110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001011011011011100000000001000000110000011111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000101101101101110000000000100000011000001111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010110110110111000000000010000001100000111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000111011011011100000000001000000110000011111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000011101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001110110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000111011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000111101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011110110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001111011011011100000000001000000110000111111111111111101000001000000000111101101000000100001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000111101101101110000000000100000011000011111111111111110100000100000000011110110100000010000100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000001110110111000000000010000001100001111111111111111010000010000000001111011010000001000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000000111011011100000000001000000110000111111111111111101000001000000010000000000000000100000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000000011101101110000000000100000011000011111111111111111100000100000001000000000000000000000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000001110110111000000000010000001100001111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001000111011011100000000001000000110000111111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000100011101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010001110110111000000000010000001100001111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001000111011011100000000001000000110000111111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000010011101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001001110110111000000000010000001100001111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000100111011011100000000001000000110000111111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000010011101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011001110110111000000000010000001100001111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001100111011011100000000001000000110000111111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000110011101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011001110110111000000000010000001100001111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000010111011011100000000001000000110000111111111111111110000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000001011101101110000000000100000011000011111111111111111000000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000101110110111000000000010000001100001111111111111111100000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000010111011011100000000001000000110000111111111111111110000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000101011101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010101110110111000000000010000001100000111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001010111011011100000000001000000110000011111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000101011101101110000000000100000011000001111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001101110110111000000000010000001100000111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000110111011011100000000001000000110000111111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000011011101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000001101110110111000000000010000001100001111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001110111011011100000000001000000110000111111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000111011101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000011101110110111000000000010000001100001111111111111111110000010000000100000000000000010000000100010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001110111011011100000000001000000110000111111111111111111000001000000010000000000000001000000010001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000000111101101110000000000100000011000011111111111111111100000100000001000000000000000100000001000101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000000011110110111000000000010000001100001111111111111111110000010001000001111011010000010000010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000001111011011100000000001000000110000111111111111111101000001000100000111101101011111111001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000000111101101110000000000100000011000011111111111111110100000100010000011110110101111111100100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010011110110111000000000010000001100001111111111111111010000010001000001111011010101101010010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000001001111011011100000000001000000110000111111111111111101000001000100000111101101010110101001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000100111101101110000000000100000011000011111111111111110100000100010000011110110101011010100100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000010011110110111000000000010000001100001111111111111111010000010001000001111011010101101010010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000000101111011011100000000001000000110000111111111111111101000001000100000111101101010110101001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000000010111101101110000000000100000011000011111111111111110100000100010000011110110101011010100100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101011110110111000000000010000001100001111111111111111010000010001000001111011010101101010010010010110001001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000010101111011011100000000001000000110000111111111111111101000001000100000111101101010110101001001001011000100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000001110111101101110000000000100000011000011111111111111110100000100010000011110110101011010100100100101100010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111011110110111000000000010000001100001111111111111111010000010001000001111011010101101010010010010110101001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000011101111011011100000000001000000110000111111111111111101000001000100000111101101010110101001001001011010100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000001110111101101110000000000100000011000011111111111111110100000100010000011110110101011010100100100101101010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000100111110110111000000000010000001100001111111111111111010000010001000001111011010101101010010010010110101001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000010011111011011100000000001000000110000111111111111111101000001000100000111101101010110101001001001011010100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000001001111101101110000000000100000011000011111111111111110100000100010000011110110101011010100100100101101010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000100111110110111000000000010000001100001111111111111111010000010001000001111011010101101010010010010110101001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000011011111011011100000000001000000110000111111111111111101000001000100000111101101010110101001001001011010100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000001101111101101110000000000100000011000001111111111111110100000100010000011110110101011010100100100101101010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000110111110110111000000000010000001100000111111111111111010000010001000001111011010101101010010010010110101001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000011011111011011100000000001000000110000011111111111111101000001000100000111101101010110101001001001011010100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000001011111101101110000000000100000011000001111111111111110100000100010000011110110101011010100100100101101010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101111110110111000000001010000010010010001001111111111010000010001000001111011010101101010010010010110101001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000010111111011011100000000101000001001001000100111111111101000001000100000111101101010110101001001001011010100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000001011111101101110000000010100000100100100010011111111110100000100010000011110110101011010100100100101101010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111111110110111000000001010000010010010001001111111111010000010001000001111011010101101010010010010110101001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000011111111011011100000000101000001001001000100111111111101000001000100000111101101010110101001001001011010100100100101000000000000000000000000000000010000000000100000011101100101010000100001111000000000001111111101101110000000010100000000011000110001111111110100000100010000011110110101011010100100100101101010010010010100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111111110110111000000001010000000001100011000111111111010000010001000001111011010101101010010010010110101001001001010000000000000000000000000000000100000000001000000111011001010100001000011110000000000010000000111011100000000101000000000110001100011111111101000001000100000111101101010110101001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001000000011101110000000010100000000011000110001111111110100000100000000010001110101011010100100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000100000001110111000000001010000000001100011000111111111010000010000000001000111010101101010010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010000000111011100000000101000000000110001100011111111101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001100000011101110000000010100000000011000110001111111110100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000110000001110111000000001010000000001100011000111111111010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011000000111011100000000101000000000100000111111111111101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001100000011101110000000010100000000010000011111111111110100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101000001110111000000001010000000001000001111010101011010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010100000111011100000000101000000000100000111101010101101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001010000011101110000000010100000000010000011110101010110100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101000001110111000000001010000000001000001111010101011010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011100000111011100000000101000000000100000111111111111101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001110000011101110000000010100000000010000011111111111110100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111000001110111000000001010000000010110001001111111111010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011100000111011100000000101000000001011000100111111111101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001001000011101110000000010100000000101100010010010010010100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000100100001110111000000001010000000010110001001000000001010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010010000111011100000000101000000001011000100100000000101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001001000011101110000000010100000000101100010010000000010100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000110100001110111000000001010000000010110001001111111111010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011010000111011100000000101000000001011000100111111111101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001101000011101110000000010100000000101110010011111111110100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000110100001110111000000001010000000010111001001111111111010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010110000111011100000000101000000001011100100100000000101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001011000011101110000000010100000000101110010010000000010100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101100001110111000000001010000000010111001001000000001010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010110000111011100000000101000000001011100100100000000101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001111000011101110000000010100000000101110010011111111110100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111100001110111000000001010000000010111001001111111111010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011110000111011100000000101000001000110001100011111111101000001000000000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001111000011101110000000010100000100011000110001111111110100000100000000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000100010001110111000000001010000010001100011000111111111010000010000000001000111010011011100010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010001000111011100000000101000001000110001100000000000101000001000100000100011101001101110001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001000100011101110000000010100000100011000110000000000010100000100010000010001110100110111000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000100010001110111000000001010000010001100011000000000001010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011001000111011100000000101000001000110001100011111111101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001100100011101110000000010100000100011000110001111111110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000110010001110111000000001010000000001000001111111111111010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011001000111011100000000101000000000100000111111111111101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001010100011101110000000010100000000010000011110101010110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101010001110111000000001010000000001000001111010101011010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010101000111011100000000101000000000100000111101010101101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001010100011101110000000010100000000010000011110101010110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111010001110111000000001010000000001000001111111111111010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011101000111011100000000101000000000100000111111111111101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001110100011101110000000010100000000101100000001111111110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111010001110111000000001010000000010110000000111111111010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010011000111011100000000101000000001011000000000100100101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001001100011101110000000010100000000101100000000001110010100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000100110001110111000000001010000000010110000000000111001010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010011000111011100000000101000000001011000000000011100101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001101100011101110000000010100000000101100000001111111110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000110110001110111000000001010000000010110000000111111111010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011011000111011100000000101000000001011100000011111111101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001101100011101110000000010100000000101110000001111111110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101110001110111000000001010000000010111000000000000001010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000010111000111011100000000101000000001011100000000000000101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001011100011101110000000010100000000101110000000000000010100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000101110001110111000000001010000000010111000000000000001010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011111000111011100000000101000000001011100000011111111101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001111100011101110000000010100000000101110000001111111110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100000000000111110001110111000000001010000000001010011000111111111010000010001000001000111010111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110000000000011111000111011100000000101000000000101001100011111111101000001000100000100011101011100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000000000001000000000011110000000010100000000010100110000011111110100000100010000010001110101110000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001100000000001111000000001010101000001010011000000000001010000010000100000110111011111000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110000000000111100000000101010100000101001100000000000101000001000010000011011101111100000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011000000000011110000000010101010000010100110000000000010100000100001000001101110111000000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001110000000001111000000001010101000001010011000111111111010000010000100000110111011000000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111000000000111100000000101010100000101001100011111111101000001000010000011011101100000000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011100000000011110000000010101010000011000110001111111110100000100001000001101110110000000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001110000000001111000000001010101000001100011000111111111010000010000100000110111011000000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110100000000111100000000101010100000110001100000100100101000001000010000011011101100000000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011010000000011110000000010101010000011000110000010010010100000100001000001101110110000000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001101000000001111000000001010101000001100011000001001001010000010000100000110111011000000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110100000000111100000000101010100000110001100000100100101000001000010000011011101100000000001001001011010100100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011110000000011110000000010101010000011000110001111111110100000100001000001101110110000000000100100101101010010010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001111000000001111000000001010101000001100011000111111111010000000000100000110111011000000000010010010110101001001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111100000000111100000000101010100000100000111111111111101000000000010000011011101100000000001001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011110000000011110000000010101010000010000011111111111110100000000001000001101110110000000000100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001100100000001111000000001010101000001000001111010101011010000000000100000110111011000000000010010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110010000000111100000000101010100000100000111101010101101000000000010000011011101100000000001001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011001000000011110000000010101010000010000011110101010110100000000001000001101110110000000000100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001100100000001111000000001010101000001000001111010101011010000000000100000110111011000000000010010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111010000000111100000000101010100000100000111111111111101000000000010000011011101100000000001001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011101000000011110000000010101010000010000011111111111110100000000001000001101110110000000000100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001110100000001111000000001010101000010110001001111111111010000000000100000110111011000000000010010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111010000000111100000000101010100001011000100111111111101000000000010000011011101100000000001001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011011000000011110000000010101010000101100010010010010010100000000001000001101110110000000000100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001101100000001111000000001010101000010110001001000000001010000000000100000110111011000000000010010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110110000000111100000000101010100001011000100100000000101000000000010000011011101100000000001001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011011000000011110000000010101010000101100010010000000010100000000001000001101110110000000000100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001111100000001111000000001010101000010110001001111111111010000000000100000110111011000000000010010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111110000000111100000000101010100001011000100111111111101000000000010000011011101100000000001001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011111000000011110000000010101010000101110010011111111110100000000001000001101110110000000000100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001111100000001111000000001010101000010111001001111111111010000000000100000110111011000000000010010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110001000000111100000000101010100001011100100100000000101000000000010000011011101100000000001001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011000100000011110000000010101010000101110010010000000010100000000000000011001110100000000001100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001100010000001111000000001010101000010111001001000000001010000000000000001100111010000000000110010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110001000000111100000000101010100001011100100100000000101000000000000000110011101000000000011001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011100100000011110000000010101010000101110010011111111110100000000000000011001110100101001001100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001110010000001111000000001010101000010111001001111111111010000000000000001100111010010100100110010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111001000000111100000000101010101000110001100011111111101000000000000000110011101001010010011001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011100100000011110000000010101010100011000110001111111110100000000000000011001110100101001001100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001101010000001111000000001010101010001100011000111111111010000000000000001100111010010100100110010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110101000000111100000000101010101000110001100000000000101000000000000000110011101001010010011001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011010100000011110000000010101010100011000110000000000010100000000000000011001110100101001001100100101101010110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001101010000001111000000001010101010001100011000000000001010000000000000001100111010010100100110010010110101011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111101000000111100000000101010101000110001100011111111101000000000000000110011101001010010011001001011010101100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011110100000011110000000010101010100011000110001111111110100000000000000011001110100101001001100100010100100110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001111010000001111000000001010101000001000001111111111111010000000000000001100111010010100100110010001010010011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111111111101000000111100000000101011110000100000111111111111101000000000000000110011101001010010011001000101001001100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111111111001100000011110000000010101111000010000011110101010110100000000000000011001110100101001001100100010100100110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011111111100110000001111000000001010111100001000001111010101011010000000000000001100111010010100100110010001010010011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111111110011000000111100000000101011110000100000111101010101101000000000000000110011101001010010011001000101001001100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111111111001100000011110000000010101111000010000011110101010110100000000000000011001110100101001001100100010100100110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011111111110110000001111000000001010111100001000001111111111111010000000000000001100111010010100100110010001010010011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111111111011000000111100000000101011110000100000111111111111101000000000000000110011101001010010011001000101001001100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111111111101100000011110000000010101111000101100000001111111110100000000000000011001110100101001001100100010100100110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011111111110110000001111000000001010111100010110000000111111111010000000000000001100111010010100100110010001010010011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111111110111000000111100000000101011110001011000000000100100101000000000000000110011101001010010011001000101001001100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111111111011100000011110000000010101111000101100000000001110010100000000000000011001110100101001001100100010100100110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011111111101110000001111000000001010111100010110000000000111001010000000000000001100111010010100100110010001010010011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000110111000000111100000000101010100001011000000000011100101000000000000000110011101001010010011001000101001001100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011111100000011110000000010101010000101100000001111111110100000000000000011001110100101001001100100010100100110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001111110000001111000000001010101000010110000000111111111010000000000000001100111010010100100110010001010010011001001110000000000000000000000000000000100000000001000000111011001010100001000011110001111000111111000000111100000000101010100001011100000011111111101000000000000000110011101001010010011001000101001001100100111000000000000000000000000000000010000000000100000011101100101010000100001111000111100011111100000011110000000010101010000101110000001111111110100000000000000011001110100101001001100100010100100110010011100000000000000000000000000000001000000000010000001110110010101000010000111100011110001100001000001111000000001010101000010111000000000000001010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110000100000111100000000101010100001011100000000000000101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011000010000011110000000010101010000101110000000000000010100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001100001000001111000000001010101000010111000000000000001010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111000100000111100000000101010100001011100000011111111101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011100010000011110000000010101010000101110000001111111110100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001110001000001111000000001010101000001010011000111111111010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111000100000111100000000101010100000101001100011111111101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011010010000011110000000010101010000010100110000011111110100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101001000001111000000001010101000001010011000000000001010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110100100000111100000000101010100000101001100000000000101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011010010000011110000000010101010000010100110000000000010100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111001000001111000000001010101000001010011000111111111010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111100100000111100000000101010100000101001100011111111101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011110010000011110000000010101010100010000011111111111110100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111001000001111000000001010101010001000001111111111111010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110010100000111100000000101010101000100000111101010101101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011001010000011110000000010101010100010000011110101010110100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001100101000001111000000001010101010001000001111010101011010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110010100000111100000000101010101000100000111101010101101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011101010000011110000000010101010100010000011111111111110100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001110101000001111000000001010101010001000001111111111111010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111010100000111100000000101010100001011000000011111111101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011101010000011110000000010101010000101100000001111111110100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101101000001111000000001010101000010110000000001001001010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110110100000111100000000101010100001011000000000011100101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011011010000011110000000010101010000101100000000001110010100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101101000001111000000001010101000010110000000000111001010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111110100000111100000000101010100001011000000011111111101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011111010000011110000000010101010000101100000001111111110100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111101000001111000000001010101000010111000000111111111010000000000000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111110100000111100000000101010100001011100000011111111101000000000000000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011000110000011110000000010101010000101110000000000000010100000000000000011001110100101001001100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001100011000001111000000001010101000010111000000000000001010000000001000001100111010010100100110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110001100000111100000000101010100001011100000000000000101000000000100000110011101001010010011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011000110000011110000000010101010000101110000000000000010100000000010000011001110100000110101100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001110011000001111000000001010101000010111000000111111111010000000001000001100111010000011010110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111001100000111100000000101010100001011100000011111111101000000000100000110011101000001101011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011100110000011110000000010101010100010100110001111111110100000000010000011001110100000110101100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001110011000001111000000001010101010001010011000111111111010000000001000001100111010000011010110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110101100000111100000000101010101000101001100000001110101000000000100000110011101000001101011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011010110000011110000000010101010100010100110000000000010100000000010000011001110100000110101100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101011000001111000000001010101010001010011000000000001010000000001000001100111010000011010110010001010010011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110101100000111100000000101010101000101001100000000000101000000000100000110011101000001101011001000101001001100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011110110000011110000000010101010100010100110001111111110100000000010000011001110100000110101100100010100100110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111011000001111000000001010101010001010011000111111111010000000001000001100111010000011010110010000001101011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111101100000111100000000101010101000100000111111111111101000000000100000110011101000001101011001000000110101100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111111111110110000011110000000010101111100010000011111111111110100000000010000011001110100000110101100100000011010110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011111111100111000001111000000001010111110001000001111010101011010000000001000001100111010000011010110010000001101011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111111110011100000111100000000101011111000100000111101010101101000000000100000110011101000001101011001000000110101100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111111111001110000011110000000010101111100010000011110101010110100000000010000011001110100000110101100100000011010110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011111111100111000001111000000001010111110001000001111010101011010000000001000001100111010000011010110010000001101011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111111111011100000111100000000101011111000100000111111111111101000000000100000110011101000001101011001000000110101100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111111111101110000011110000000010101111100010000011111111111110100000000010000011001110100000110101100100000011010110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011111111110111000001111000000001010111100010110000000111111111010000000001000001100111010000011010110010000001101011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111111111011100000111100000000101011110001011000000011111111101000000000100000110011101000001101011001000000110101100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111111111011110000011110000000010101111000101100000000010010010100000000010000011001110100000110101100100000011010110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011111111101111000001111000000001010111100010110000000000111001010000000001000001100111010000011010110010000001101011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111111110111100000111100000000101011110001011000000000011100101000000000100000110011101000001101011001000000110101100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011011110000011110000000010101010000101100000000001110010100000000010000011001110100000110101100100000011010110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111111000001111000000001010101000010110000000111111111010000000001000001100111010000011010110010000001101011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000111111100000111100000000101010100001011000000011111111101000000000100000110011101000001101011001000000110101100100101000000000100000000000000000000010000000000100000011101100101010000100001111000111100011111110000011110000000010101010000101110000001111111110100000000010000011001110100000110101100100000011010110010010100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111111000001111000000001010101000010111000000111111111010000000001000001100111010000011010110010000001101011001001010000000001000000000000000000000100000000001000000111011001010100001000011110001111000110000010000111100000000101010100001011100000000000000101000000000100000110011101000001101011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011000001000011110000000010101010000101110000000000000010100000000000000010101110100000110101100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001100000100001111000000001010101000010111000000000000001010000000000000001010111010000011010110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000110000010000111100000000101010100001011100000000000000101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011100001000011110000000010101010000101110000001111111110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001110000100001111000000001010101000010111000000111111111010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111000010000111100000000101010100000111001100011111111101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011100001000011110000000010101010000011100110001111111110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101000100001111000000001010101000001110011000000011101010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000110100010000111100000000101010100000111001100000000000101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011010001000011110000000010101010000011100110000000000010100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101000100001111000000001010101000001110011000000000001010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111100010000111100000000101010100000111001100011111111101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011110001000011110000000010101010000011100110001111111110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111000100001111000000001010101010001000001111111111111010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111111100010000111100000000101011111000100000111111111111101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111001001000011110000000010101111100010000011110101010110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111100100100001111000000001010111110001000001111010101011010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111110010010000111100000000101011111000100000111101010101101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111001001000011110000000010101111100010000011110101010110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111110100100001111000000001010111110001000001111111111111010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111111010010000111100000000101011111000100000111111111111101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111101001000011110000000010101111000101100000001111111110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111110100100001111000000001010111100010110000000111111111010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111110110010000111100000000101011110001011000000000100100101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111011001000011110000000010101111000101100000000001110010100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111101100100001111000000001010111100010110000000000111001010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000110110010000111100000000101010100001011000000000011100101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011111001000011110000000010101010000101100000001111111110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111100100001111000000001010101000010110000000111111111010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111110010000111100000000101010100001011100000011111111101000000000000000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011111001000011110000000010101010000101110000001111111110100000000000000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001100010100001111000000001010101000010111000000000000001010000000000000001010111010110000000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000110001010000111100000000101010100001011100000000000000101000000000100000101011101011000000011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011000101000011110000000010101010000101110000000000000010100000000010000010101110101100000001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001100010100001111000000001010101000010111000000000000001010000000001000001010111010000001000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111001010000111100000000101010100001011100000011111111101000000000100000101011101000000100011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011100101000011110000000010101010000101110000001111111110100000000010000010101110100000010001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001110010100001111000000001010101010001110011000111111111010000000001000001010111010000001000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111001010000111100000000101010101000111001100011111111101000000000100000101011101000000100011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011010101000011110000000010101010100011100110000001110010100000000010000010101110100000010001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101010100001111000000001010101010001110011000000000001010000000001000001010111010000001000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000110101010000111100000000101010101000111001100000000000101000000000100000101011101000000100011001000000110101100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011010101000011110000000010101010100011100110000000000010100000000010000010101110100000010001100100000011010110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111010100001111000000001010101010001110011000111111111010000000001000001010111010000001000110010000001101011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111101010000111100000000101010101000111001100011111111101000000000100000101011101000000100011001000000010001100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011110101000011110000000010101010100010000011111111111110100000000010000010101110100000010001100100000001000110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111111010100001111000000001010111110001000001111111111111010000000001000001010111010000001000110010000000100011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111110011010000111100000000101011111000100000111101010101101000000000100000101011101000000100011001000000010001100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111001101000011110000000010101111100010000011110101010110100000000010000010101110100000010001100100000001000110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111100110100001111000000001010111110001000001111010101011010000000001000001010111010000001000110010000000100011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111110011010000111100000000101011111000100000111101010101101000000000100000101011101000000100011001000000010001100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111101101000011110000000010101111100010000011111111111110100000000010000010101110100000010001100100000001000110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111110110100001111000000001010111110001000001111111111111010000000001000001010111010000001000110010000000100011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111111011010000111100000000101011110001011000000011111111101000000000100000101011101000000100011001000000010001100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111101101000011110000000010101111000101100000001111111110100000000010000010101110100000010001100100000001000110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011111111101110100001111000000001010111100010110000000001001001010000000001000001010111010000001000110010000000100011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111111110111010000111100000000101011110001011000000000011100101000000000100000101011101000000100011001000000010001100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111111111011101000011110000000010101111000101100000000001110010100000000010000010101110100000010001100100000001000110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001101110100001111000000001010101000010110000000000111001010000000001000001010111010000001000110010000000100011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111111010000111100000000101010100001011000000011111111101000000000100000101011101000000100011001000000010001100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011111101000011110000000010101010000101100000001111111110100000000010000010101110100000010001100100000001000110010011100000000010000000000000000000001000000000010000001110110010101000010000111100011110001111110100001111000000001010101000010111000000111111111010000000001000001010111010000001000110010000000100011001001110000000001000000000000000000000100000000001000000111011001010100001000011110001111000111111010000111100000000101010100001011100000011111111101000000000100000101011101000000100011001000000010001100100111000000000100000000000000000000010000000000100000011101100101010000100001111000111100011000011000011110000000010101010000101110000000000000010100000000010000010101110100000010001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100001100001111000000001010101000010111000000000000001010000000000000001110111010000001000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110000110000111100000000101010100001011100000000000000101000000000000000111011101000000100011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000011000011110000000010101010000101110000000000000010100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110001100001111000000001010101000010111000000111111111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111000110000111100000000101010100001011100000011111111101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100011000011110000000010101010000010010110001111111110100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110001100001111000000001010101000001001011000111111111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110100110000111100000000101010100000100101100000010000101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010011000011110000000010101010000010010110000000000010100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101001100001111000000001010101000001001011000000000001010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110100110000111100000000101010100000100101100000000000101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110011000011110000000010101010000010010110001111111110100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111001100001111000000001010101000001001011000111111111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111100110000111100000000101010100000110000111111111111101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111110011000011110000000010101111000011000011111111111110100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111100101100001111000000001010111100001100001111010101111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110010110000111100000000101011110000110000111101010111101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001011000011110000000010101111000011000011110101011110100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111100101100001111000000001010111100001100001111010101111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111111010110000111100000000101011110000110000111111111111101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111101011000011110000000010101111000011000011111111111110100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111110101100001111000000001010111100010110000000111111111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111111010110000111100000000101011110001011000000011111111101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111011011000011110000000010101111000101100000000010010010100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111101101100001111000000001010111100010110000000000111001010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110110110000111100000000101011110001011000000000011100101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011011011000011110000000010101010000101100000000001110010100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111101100001111000000001010101000010110000000111111111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111110110000111100000000101010100001011000000011111111101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111011000011110000000010101010000101110000001111111110100000000000000011101110100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111101100001111000000001010101000010111000000111111111010000000000000001110111010010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110001110000111100000000101010100001011100000000000000101000000000000000111011101001001000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000111000011110000000010101010000101110000000000000010100000000010000001011111100100100001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100011100001111000000001010101000010111000000000000001010000000001000000101111110010010000110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110001110000111100000000101010100001011100000000000000101000000000100000010111111001100000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100111000011110000000010101010000101110000001111111110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110011100001111000000001010101000010111000000111111111010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111001110000111100000000101010101000100101100011111111101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100111000011110000000010101010100010010110001111111110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101011100001111000000001010101010001001011000001001001010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110101110000111100000000101010101000100101100000100100101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010111000011110000000010101010100010010110000010010010100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101011100001111000000001010101010001001011000001001001010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111101110000111100000000101010101000100101100011111111101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110111000011110000000010101010100010010110001111111110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111011100001111000000001010101000001100001111111111111010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111111101110000111100000000101011110000110000111111111111101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001111000011110000000010101111000011000011110101011110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111100111100001111000000001010111100001100001111010101111010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110011110000111100000000101011110000110000111101010111101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001111000011110000000010101111000011000011110101011110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111110111100001111000000001010111100001100001111111111111010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111111011110000111100000000101011110000110000111111111111101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111101111000011110000000010101111000101100010011111111110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111110111100001111000000001010111100010110001001111111111010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110111110000111100000000101011110001011000100100100100101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111011111000011110000000010101111000101100010010000000010100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111101111100001111000000001010111100010110001001000000001010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110111110000111100000000101010100001011000100100000000101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111111000011110000000010101010000101100010011111111110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111111100001111000000001010101000010110001001111111111010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111111110000111100000000101010100001011100100111111111101000000000100000010111111011110010011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111111000011110000000010101010000101110010011111111110100000000010000001011111101111001001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100000010001111000000001010101000010111001001000000001010000000001000000101111110111100100110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110000001000111100000000101010100001011100100100000000101000000000100000010111111011110010100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000000100011110000000010101010000101110010010000000011100000000010000001011111101000000010000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100000010001111000000001010101000010111001001000000001110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111000001000111100000000101010100001011100100111111111111000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100000100011110000000010101010000101110010011111111111100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110000010001111000000001010101000001101011000111111111110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111000001000111100000000101010100000110101100011111111111000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010000100011110000000010101010000011010110001100011111100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101000010001111000000001010101000001101011000001001001110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110100001000111100000000101010100000110101100000100100111000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010000100011110000000010101010000011010110000010010011100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111000010001111000000001010101000001101011000111111111110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111100001000111100000000101010100000110101100011111111111000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110000100011110000000010101010000011000011111111111111100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111111000010001111000000001010111100001100001111111111111110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110010001000111100000000101011110000110000111101010111110000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001000100011110000000010101111000011000011110101011111000000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111100100010001111000000001010111100001100001111010101111100000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110010001000111100000000101011110000110000111101010111110000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111101000100011110000000010101111000011000011111111111111100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111110100010001111000000001010111100001100001111111111111110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111111010001000111100000000101011110001011000100111111111111000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111101000100011110000000010101111000101100010011111111111100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111101100010001111000000001010111100010110001001001001001110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110110001000111100000000101011110001011000100100000000111000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111011000100011110000000010101111000101100010010000000011100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101100010001111000000001010101000010110001001000000001110000000001000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111110001000111100000000101010100001011000100111111111111000000000100000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111000100011110000000010101010000101100010011111111111100000000010000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111100010001111000000001010101000010111001001111111111110000000000000000101111110100000011000000100000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111110001000111100000000101010100001011100100111111111111000000000000000010111111010000001100000010000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000100100011110000000010101010000101110010010000000011100000000000000001011111101000000110000001000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100010010001111000000001010101000010111001001000000001110000000000000000101111110100000011110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110001001000111100000000101010100001011100100100000000111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000100100011110000000010101010000101110010010000000011100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110010010001111000000001010101000010111001001111111111110000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111001001000111100000000101010100001011100100111111111111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100100100011110000000010101010100011010110001111111111100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110010010001111000000001010101010001101011000111111111110000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110101001000111100000000101010101000110101100000001111111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010100100011110000000010101010100011010110000111010011100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101010010001111000000001010101010001101011000011101001110000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110101001000111100000000101010101000110101100001110100111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110100100011110000000010101010100011010110001111111111100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111010010001111000000001010101010001101011000111111111110000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111101001000111100000000101010100000110000111111111111111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110100100011110000000010101010000011000011111111111111100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100110010001111000000001010101000001100001111010101111100000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110011001000111100000000101010100000110000111101010111110000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011001100100011110000000010101010000011000011110101011111000000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100110010001111000000001010101000001100001111010101111100000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111011001000111100000000101010100000110000111111111111111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011101100100011110000000010101010000011000011111111111111100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110110010001111000000001010101000010110011101111111111110000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111011001000111100000000101010100001011001110111111111111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011011100100011110000000010101010000101100111010010010011100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101110010001111000000001010101000010110011101000111001110000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110111001000111100000000101010100001011001110100011100111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011011100100011110000000010101010000101100111010001110011100000000000000001011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111110010001111000000001010101000010110011101111111111110000000000000000101111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111111001000111100000000101010100001011001110111111111111000000000000000010111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111100100011110000000010101010000101110111011111111111100000000010000000011111101110111011101110000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111110010001111000000001010101000010111011101111111111110000000001000000001111110111011101110111000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110000101000111100000000101010100001011101110100010000111000000000100000000111111011101110111011100000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000010100011110000000010101010000101110111010001000011100000000010000011101110101110111001100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100001010001111000000001010101000010111011101000100001010000000001000001110111010111111110110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110000101000111100000000101010100001011101110100010000101000000000100000111011101000000000011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100010100011110000000010101010000101110111011111111110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110001010001111000000001010101000010111011101111111111010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111000101000111100000000101010100000101101100011111111101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100010100011110000000010101010000010110110001111111110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101001010001111000000001010101000001011011000000111111010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110100101000111100000000101010100000101101100010010100101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010010100011110000000010101010000010110110001001010010100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101001010001111000000001010101000001011011000100101001010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111100101000111100000000101010100000101101100011111111101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110010100011110000000010101010000010110110001111111110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111001010001111000000001010101010001100001111111111111010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111100101000111100000000101010101000110000111111111111101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011001010100011110000000010101010100011000011110101010110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100101010001111000000001010101010001100001111010101011010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110010101000111100000000101010101000110000111101010101101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011001010100011110000000010101010100011000011110101010110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110101010001111000000001010101010001100001111111111111010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111010101000111100000000101010101000110000111111111111101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011101010100011110000000010101010000101101001011111111110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110101010001111000000001010101000010110100101111111111010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110110101000111100000000101010100001011010010100100100101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011011010100011110000000010101010000101101001010010001010100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101101010001111000000001010101000010110100101001000101010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110110101000111100000000101010100001011010010100100010101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111010100011110000000010101010000101101001011111111110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111101010001111000000001010101000010110100101111111111010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111110101000111100000000101010100001011110010111111111101000000000100000111011101001000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111010100011110000000010101010000101111001011111111110100000000010000011101110100100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100011010001111000000001010101000010111100101000000001010000000001000001110111010010000010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110001101000111100000000101010100001011110010100000000101000000000000000110010000101000001011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000110100011110000000010101010000101111001010000000010100000000000000011001000010100000101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100011010001111000000001010101000010111100101000000001010000000000000001100100001101101010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111001101000111100000000101010100001011110010111111111101000000000000000110010000110110101011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100110100011110000000010101010000101111001011111111110100000000000000011001000011011010101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110011010001111000000001010101010001011011000111111111010000000000000001100100001101101010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111001101000111100000000101010101000101101100011111111101000000000000000110010000110110101011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010110100011110000000010101010100010110110000000111010100000000000000011001000011011010101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101011010001111000000001010101010001011011000000000001010000000000000001100100001101101010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000110101101000111100000000101010101000101101100000000000101000000000000000110010000110110101011001000000010001100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010110100011110000000010101010100010110110000000000010100000000000000011001000011011010101100100000001000110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111011010001111000000001010101010001011011000111111111010000000000000001100100001101101010110010000000100011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111101101000111100000000101010101000101101100011111111101000000000000000110010000110110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110110100011110000000010101010100011000011111111111110100000000000000011001000011011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111111011010001111000000001010111110001100001111111111111010000000000000001100100001101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111111110011101000111100000000101011111000110000111101010101101000000000000000110010000110110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001110100011110000000010101111100011000011110101010110100000000000000011001000011011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011111111100111010001111000000001010111110001100001111010101011010000000000000001100100001101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001010100001000011110000000000010011101000111100000000101000001000110000111101010101101000000000000000110010000110110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100101010000100001111000000000001101110100011110000000010100000100011000011111111111110100000000000000011001000011011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110010101000010000111100000000000110111010001111000000001010000010001100001111111111111010000000000000001100100001101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001010100001000011110000000000011011101000111100000000101000000001011000000011111111101000000000000000110010000110110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100101010000100001111000000000001101110100011110000000010100000000101100000001111111110100000000000000011001000011011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110010101000010000111100000000000101111010001111000000001010000000010110000000001001001010000000000000001100100001101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001010100001000011110000000000010111101000111100000000101000000001011000000000011100101000000000000000110010000110110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100101010000100001111000000000001011110100011110000000010100000000101100000000001110010100000000000000011001000011011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011101110101111010001111000000001010111000010110000000000111001010000000000000001100100001101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001110111011111101000111100000000101011100001011000000011111111101000000000000000110010000110110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111011101111110100011110000000010101110000101100000001111111110100000000000000011001000011011010101100100101101010110010010100000000000000000000100000000001000000000010000001110110010101000010000111100011101110111111010001111000000001010111000010111000000111111111010000000000000001100100001101101010110010010110101011001001010000000000000000000010000000000100000000001000000111011001010100001000011110001111000111111101000111100000000101010100001011100000011111111101000000000000000110010000110110101011001001011010101100100101000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000001100011110000000010101010000101110000000000000010100000000000000011001000011011010101100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100000110001111000000001010101000010111000000000000001010000000001000001100100001101101010110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110000011000111100000000101010100001011100000000000000101000000000100000110010000110110101011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000001100011110000000010101010000101110000000000000010100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110000110001111000000001010101000010111000000111111111010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111000011000111100000000101010100001011100000011111111101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100001100011110000000010101010000011110110001111111110100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110000110001111000000001010101000001111011000111111111010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110100011000111100000000101010100000111101100000001110101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010001100011110000000010101010000011110110000000000010100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101000110001111000000001010101000001111011000000000001010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111110100011000111100000000101011110000111101100000000000101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111110001100011110000000010101111000011110110001111111110100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111111000110001111000000001010111100001111011000111111111010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111111100011000111100000000101011111000110000111111111111101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110001100011110000000010101010100011000011111111111110100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100100110001111000000001010101010001100001111010101011010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110010011000111100000000101010101000110000111101010101101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011001001100011110000000010101010100011000011110101010110100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100100110001111000000001010101010001100001111010101011010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111010011000111100000000101010101000110000111111111111101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011101001100011110000000010101010100011000011111111111110100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110100110001111000000001010101000010110000000111111111010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111010011000111100000000101010100001011000000011111111101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011011001100011110000000010101010000101100000000010010010100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101100110001111000000001010101000010110000000000111001010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110110011000111100000000101010100001011000000000011100101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111011001100011110000000010101111000101100000000001110010100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111111100110001111000000001010111100010110000000111111111010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111111110011000111100000000101011110001011000000011111111101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111111001100011110000000010101111000101110000001111111110100000000010000011001000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111100110001111000000001010101000010111000000111111111010000000001000001100100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110001011000111100000000101010100001011100000000000000101000000000100000110010000100001110011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000101100011110000000010101010000101110000000000000010100000000000000010101000010000111001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100010110001111000000001010101000010111000000000000001010000000000000001010100001000011100110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110001011000111100000000101010100001011100000000000000101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100101100011110000000010101010000101110000001111111110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110010110001111000000001010101000010111000000111111111010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111001011000111100000000101010101000111101100011111111101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100101100011110000000010101010100011110110001111111110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101010110001111000000001010101010001111011000000111001010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110101011000111100000000101010101000111101100000100100101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010101100011110000000010101010100011110110000010010010100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101010110001111000000001010101010001111011000001001001010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111101011000111100000000101010101000111101100011111111101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110101100011110000000010101010100011110110001111111110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111010110001111000000001010101010001100001111111111111010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111111101011000111100000000101011111000110000111111111111101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001101100011110000000010101111100011000011110101010110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111100110110001111000000001010111110001100001111010101011010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111110011011000111100000000101011111000110000111101010101101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001101100011110000000010101111100011000011110101010110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111110110110001111000000001010111110001100001111111111111010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111111011011000111100000000101011111000110000111111111111101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111101101100011110000000010101111000101100010011111111110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111110110110001111000000001010111100010110001001111111111010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111110111011000111100000000101011110001011000100100100100101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111011101100011110000000010101111000101100010010000000010100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111101110110001111000000001010111100010110001001000000001010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110111011000111100000000101010100001011000100100000000101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111101100011110000000010101010000101100010011111111110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111110110001111000000001010101000010110001001111111111010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111111011000111100000000101010100001011100100111111111101000000000000000101010000111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111101100011110000000010101010000101110010011111111110100000000000000010101000011110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100001110001111000000001010101000010111001001000000001010000000000000001010100001111000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110000111000111100000000101010100001011100100100000000101000000000010000000011101111100000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000011100011110000000010101010000101110010010000000010100000000001000000001110111110000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100001110001111000000001010101000010111001001000000001010000000000100000000111011110001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111000111000111100000000101010100001011100100111111111101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100011100011110000000010101010000101110010011111111110100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110001110001111000000001010101000001000111000111111111010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111000111000111100000000101010100000100011100011111111101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010011100011110000000010101010000010001110001111111110100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101001110001111000000001010101000001000111000001001001010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110100111000111100000000101010100000100011100000100100101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010011100011110000000010101010000010001110000010010010100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111001110001111000000001010101000001000111000111111111010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111100111000111100000000101010100000100011100011111111101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110011100011110000000010101010000010100011111111111110100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111111001110001111000000001010111100001010001111111111111010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111110010111000111100000000101011110000101000111101010101101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111001011100011110000000010101111000010100011110101010110100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111100101110001111000000001010111100001010001111010101011010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111110010111000111100000000101011110000101000111101010101101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111101011100011110000000010101111000010100011111111111110100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111110101110001111000000001010111100001010001111111111111010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111111010111000111100000000101011110001011000100111111111101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111101011100011110000000010101111000101100010011111111110100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011111111101101110001111000000001010111100010110001001001001001010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111111110110111000111100000000101011110001011000100100000000101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111111111011011100011110000000010101111000101100010010000000010100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101101110001111000000001010101000010110001001000000001010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111110111000111100000000101010100001011000100111111111101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111011100011110000000010101010000101100010011111111110100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111101110001111000000001010101000010111001001111111111010000000000100000000111011000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111110111000111100000000101010100001011100100111111111101000000000010000000011101100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000111100011110000000010101010000101110010010000000010100000000001000000001110110000000001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100011110001111000000001010101000010111001001000000001010000000001000001010100001000000000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110001111000111100000000101010100001011100100100000000101000000000100000101010000100000000011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011000111100011110000000010101010000101110010010000000010100000000010000010101000011110110001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110011110001111000000001010101000010111001001111111111010000000001000001010100001000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111001111000111100000000101010100001011100100111111111101000000000100000101010000100000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011100111100011110000000010101010100010001110001111111110100000000010000010101000010000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110011110001111000000001010101010001000111000111111111010000000001000001010100001000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110101111000111100000000101010101000100011100011111111101000000000100000101010000100000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011010111100011110000000010101010100010001110000010010010100000000010000010101000010000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101011110001111000000001010101010001000111000001001001010000000001000001010100001000001000110010010110101011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110101111000111100000000101010101000100011100000100100101000000000100000101010000100000100011001001011010101100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110111100011110000000010101010100010001110001111111110100000000010000010101000010000010001100100101101010110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111011110001111000000001010101010001000111000111111111010000000001000001010100001000001000110010000000100011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111101111000111100000000101010100000101000111111111111101000000000100000101010000100000100011001000000010001100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011110111100011110000000010101010000010100011111111111110100000000010000010101000010000010001100100000001000110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100111110001111000000001010101000001010001111010101011010000000001000001010100001000001000110010000000100011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110011111000111100000000101010100000101000111101010101101000000000100000101010000100000100011001000000010001100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011001111100011110000000010101010000010100011110101010110100000000010000010101000010000010001100100000001000110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001100111110001111000000001010101000001010001111010101011010000000001000001010100001000001000110010000000100011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111011111000111100000000101010100000101000111111111111101000000000100000101010000100000100011001000000010001100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011101111100011110000000010101010000010100011111111111110100000000010000010101000010000010001100100000001000110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001110111110001111000000001010101000010110001001111111111010000000001000001010100001000001000110010000000100011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111011111000111100000000101010100001011000100111111111101000000000100000101010000100000100011001000000010001100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011011111100011110000000010101010000101100010010010010010100000000010000010101000010000010001100100000001000110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001101111110001111000000001010101000010110001001000000001010000000001000001010100001000001000110010000000100011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110111111000111100000000101010100001011000100100000000101000000000100000101010000100000100011001000000010001100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011011111100011110000000010101010000101100010010000000010100000000010000010101000010000010001100100000001000110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111111110001111000000001010101000010110001001111111111010000000001000001010100001000001000110010000000100011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000111111111000111100000000101010100001011000100111111111101000000000100000101010000100000100011001000000010001100100111000000000000000000001000000000010000000000100000011101100101010000100001111000111100011111111100011110000000010101010000101110010011111111110100000000010000010101000010000010001100100000001000110010011100000000000000000000100000000001000000000010000001110110010101000010000111100011110001111111110001111000000001010101000010111001001111111111010000000001000001010100001000001000110010000000100011001001110000000000000000000010000000000100000000001000000111011001010100001000011110001111000110000000100111100000000101010100001011100100100000000101000000000100000101010000100000100011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000000010011110000000010101010000101110010010000000010100000000000000011101000010000010001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100000001001111000000001010101000010111001001000000001010000000000000001110100001000001000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110000000100111100000000101010100001011100100100000000101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100000010011110000000010101010000101110010011111111110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110000001001111000000001010101000010111001001111111111010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111000000100111100000000101010100000110011100011111111101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100000010011110000000010101010000011001110001111111110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101000001001111000000001010101000001100111000111111111010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110100000100111100000000101010100000110011100000100100101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010000010011110000000010101010000011001110000010010010100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101000001001111000000001010101000001100111000001001001010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111100000100111100000000101010100000110011100011111111101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011110000010011110000000010101010000011001110001111111110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111000001001111000000001010101000001010001111111111111010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111100000100111100000000101010100000101000111111111111101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001000010011110000000010101010000010100011110101010110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100100001001111000000001010101000001010001111010101011010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110010000100111100000000101010100000101000111101010101101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001000010011110000000010101010000010100011110101010110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110100001001111000000001010101000001010001111111111111010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111010000100111100000000101010100000101000111111111111101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101000010011110000000010101010000101100010011111111110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110100001001111000000001010101000010110001001111111111010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110110000100111100000000101010100001011000100100100100101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011011000010011110000000010101010000101100010010000000010100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101100001001111000000001010101000010110001001000000001010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110110000100111100000000101010100001011000100100000000101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111000010011110000000010101010000101100010011111111110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111100001001111000000001010101000010110001001111111111010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111110000100111100000000101010100001011100100111111111101000000000000000111010000100100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111000010011110000000010101010000101110010011111111110100000000000000011101000010010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100010001001111000000001010101000010111001001000000001010000000000000001110100001001000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110001000100111100000000101010100001011100100100000000101000000000100000000111111000100000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000100010011110000000010101010000101110010010000000010100000000010000000011111100010000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100010001001111000000001010101000010111001001000000001010000000001000000001111110100111010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111001000100111100000000101010100001011100100111111111101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100100010011110000000010101010000101110010011111111110100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110010001001111000000001010101010001100111000111111111010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111001000100111100000000101010101000110011100011111111101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010100010011110000000010101010100011001110001111100010100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101010001001111000000001010101010001100111000100000001010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110101000100111100000000101010101000110011100010000000101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010100010011110000000010101010100011001110001000000010100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111010001001111000000001010101010001100111000111111111010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111101000100111100000000101010101000110011100011111111101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011110100010011110000000010101010000010100011111111111110100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111010001001111000000001010101000001010001111111111111010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110011000100111100000000101010100000101000111101010101101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001100010011110000000010101010000010100011110101010110100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100110001001111000000001010101000001010001111010101011010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110011000100111100000000101010100000101000111101010101101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101100010011110000000010101010000010100011111111111110100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110110001001111000000001010101000001010001111111111111010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111011000100111100000000101010100001011010000011111111101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101100010011110000000010101010000101101000001111111110100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101110001001111000000001010101000010110100000001001001010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110111000100111100000000101010100001011010000001111110101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011011100010011110000000010101010000101101000000111111010100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101110001001111000000001010101000010110100000011111101010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111111000100111100000000101010100001011010000011111111101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111100010011110000000010101010000101101000001111111110100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111110001001111000000001010101000010111100000111111111010000000001000000001111110110110010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111111000100111100000000101010100001011110000011111111101000000000100000000111111011011001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000010010011110000000010101010000101111000000000000010100000000010000000011111101101100101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100001001001111000000001010101000010111100000000000001010000000001000000001111110110110010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110000100100111100000000101010100001011110000000000000111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000010010011110000000010101010000101111000000000000011100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110001001001111000000001010101000010111100000111111111110000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111000100100111100000000101010100001011110000011111111111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100010010011110000000010101010000010101110001111111111100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110001001001111000000001010101000001010111000111111111110000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110100100100111100000000101010100000101011100000000000111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010010010011110000000010101010000010101110000001010011100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101001001001111000000001010101000001010111000000101001110000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110100100100111100000000101010100000101011100000010100111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011110010010011110000000010101010000010101110001111111111100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111001001001111000000001010101000001010111000111111111110000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111100100100111100000000101010101000101000111111111111111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011110010010011110000000010101010100010100011111111111111100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100101001001111000000001010101010001010001111010101011100000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110010100100111100000000101010101000101000111101010101110000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001010010011110000000010101010100010100011110101010111000000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100101001001111000000001010101010001010001111010101011100000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111010100100111100000000101010101000101000111111111111111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101010010011110000000010101010100010100011111111111111100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110101001001111000000001010101000010110000101111111111110000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111010100100111100000000101010100001011000010111111111111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011011010010011110000000010101010000101100001010010010011100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101101001001111000000001010101000010110000101000000001110000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110110100100111100000000101010100001011000010100000000111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011011010010011110000000010101010000101100001010000000011100000000010000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111101001001111000000001010101000010110000101111111111110000000001000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111110100100111100000000101010100001011000010111111111111000000000100000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111010010011110000000010101010000101110001011111111111100000000000000000011111100100000101000001000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111101001001111000000001010101000010111000101111111111110000000000000000001111110010000010100000100000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110001100100111100000000101010100001011100010100000000111000000000000000000111111001000001010000010000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000110010011110000000010101010000101110001010000000011100000000000000000011111100100000111101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100011001001111000000001010101000010111000101000000001110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110001100100111100000000101010100001011100010100000000111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100110010011110000000010101010000101110001011111111111100000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110011001001111000000001010101000010111000101111111111110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111001100100111100000000101010101000101011100011111111111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100110010011110000000010101010100010101110001111111111100000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101011001001111000000001010101010001010111000000000001110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110101100100111100000000101010101000101011100010000000111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010110010011110000000010101010100010101110001000000011100000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111101011001001111000000001010111110001010111000100000001110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111101100100111100000000101011111000101011100011111111111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111110110010011110000000010101111100010101110001111111111100000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111111011001001111000000001010111110001010001111111111111110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111101100100111100000000101011111000101000111111111111111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111001110010011110000000010101111100010100011110101010111000000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111100111001001111000000001010111110001010001111010101011100000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110011100100111100000000101011111000101000111101010101110000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111001110010011110000000010101111100010100011110101010111000000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111110111001001111000000001010111110001010001111111111111110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111011100100111100000000101011111000101000111111111111111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111101110010011110000000010101111000101101000001111111111100000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111110111001001111000000001010111100010110100000111111111110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110111100100111100000000101011110001011010000000100100111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111011110010011110000000010101111000101101000000111111011100000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111101111001001111000000001010111100010110100000011111101110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110111100100111100000000101011110001011010000001111110111000000000000000000111111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111111110010011110000000010101111000101101000001111111111100000000000000000011111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111111111001001111000000001010111100010110100000111111111110000000000000000001111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111111100100111100000000101011110001011110000011111111111000000000100000011011111011101000111010000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111111110010011110000000010101111000101111000001111111111100000000010000001101111101110100011101000000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111100000101001111000000001010111100010111100000000000001110000000001000000110111110111010001110100000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110000010100111100000000101011110001011110000000000000111000000000100000111010000111101000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111000001010011110000000010101111000101111000000000000010100000000010000011101000011111111101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100000101001111000000001010101000010111100000000000001010000000001000001110100001000000000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111000010100111100000000101010100001011110000011111111101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100001010011110000000010101010000101111000001111111110100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110000101001111000000001010101000001110111000111111111010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111000010100111100000000101010100000111011100011111111101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010001010011110000000010101010000011101110000000000010100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101000101001111000000001010101000001110111000001001001010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110100010100111100000000101010100000111011100000100100101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010001010011110000000010101010000011101110000010010010100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111000101001111000000001010101000001110111000111111111010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111100010100111100000000101010100000111011100011111111101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011110001010011110000000010101010100010100011111111111110100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111000101001111000000001010101010001010001111111111111010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110010010100111100000000101010101000101000111101010101101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001001010011110000000010101010100010100011110101010110100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100100101001111000000001010101010001010001111010101011010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110010010100111100000000101010101000101000111101010101101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101001010011110000000010101010100010100011111111111110100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110100101001111000000001010101010001010001111111111111010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111010010100111100000000101010100001011000100111111111101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101001010011110000000010101010000101100010011111111110100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101100101001111000000001010101000010110001001001001001010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110110010100111100000000101010100001011000100100000000101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011011001010011110000000010101010000101100010010000000010100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101100101001111000000001010101000010110001001000000001010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111110010100111100000000101010100001011000100111111111101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111001010011110000000010101010000101100010011111111110100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111100101001111000000001010101000010111001001111111111010000000001000001110100001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111110010100111100000000101010100001011100100111111111101000000000100000111010000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000101010011110000000010101010000101110010010000000010100000000010000011101000010111000101100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100010101001111000000001010101000010111001001000000001010000000000110001010000001011100010110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110001010100111100000000101010100001011100100100000000101000000000011000101000000101110001011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000101010011110000000010101010000101110010010000000010100000000001100010100000010101000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110010101001111000000001010101000010111001001111111111010000000000110001010000001010100000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000111001010100111100000000101010100001011100100111111111101000000000011000101000000101010000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100101010011110000000010101010100011101110001111111110100000000001100010100000010101000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110010101001111000000001010101010001110111000111111111010000000000110001010000001010100000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111000110101010100111100000000101010101000111011100000000000101000000000011000101000000101010000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010101010011110000000010101010100011101110000010010010100000000001100010100000010101000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101010101001111000000001010101010001110111000001001001010000000000110001010000001010100000110010000000100011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110101010100111100000000101011111000111011100000100100101000000000011000101000000101010000011001000000010001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111110101010011110000000010101111100011101110001111111110100000000001100010100000010101000001100100000001000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111111010101001111000000001010111110001110111000111111111010000000000110001010000001010100000110010001010000011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111101010100111100000000101011111000101000111111111111101000000000011000101000000101010000011001000101000001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111110101010011110000000010101111100010100011111111111110100000000001100010100000010101000001100100010100000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111100110101001111000000001010111110001010001111010101011010000000000110001010000001010100000110010001010000011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110011010100111100000000101011111000101000111101010101101000000000011000101000000101010000011001000101000001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111001101010011110000000010101111100010100011110101010110100000000001100010100000010101000001100100010100000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111100110101001111000000001010111110001010001111010101011010000000000110001010000001010100000110010001010000011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111011010100111100000000101011111000101000111111111111101000000000011000101000000101010000011001000101000001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111101101010011110000000010101111100010100011111111111110100000000001100010100000010101000001100100010100000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111110110101001111000000001010111100010110001001111111111010000000000110001010000001010100000110010001010000011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111011010100111100000000101011110001011000100111111111101000000000011000101000000101010000011001000101000001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111011101010011110000000010101111000101100010010010010010100000000001100010100000010101000001100100010100000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111101110101001111000000001010111100010110001001000000001010000000000110001010000001010100000110010001010000011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110111010100111100000000101011110001011000100100000000101000000000011000101000000101010000011001000101000001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111011101010011110000000010101111000101100010010000000010100000000001100010100000010101000001100100010100000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111111110101001111000000001010111100010110001001111111111010000000000110001010000001010100000110010001010000011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111111111010100111100000000101011110001011000100111111111101000000000011000101000000101010000011001000101000001100100101000000000100000000001000000000010000000000100000011101100101010000100001111000111111111111101010011110000000010101111000101110010011111111110100000000001100010100000010101000001100100010100000110010010100000000010000000000100000000001000000000010000001110110010101000010000111100011111111111110101001111000000001010111100010111001001111111111010000000000110001010000001010100000110010001010000011001001010000000001000000000010000000000100000000001000000111011001010100001000011110001111111110000110100111100000000101011110001011100100100000000101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111111111000011010011110000000010101111000101110010010000000010100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011111111100001101001111000000001010111100010111001001000000001010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110000110100111100000000101010100001011100100100000000101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100011010011110000000010101010000101110010011111111110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110001101001111000000001010101000010111001001111111111010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111000110100111100000000101010100000100111100011111111101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100011010011110000000010101010000010011110001111111110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101001101001111000000001010101000001001111000111110001010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110100110100111100000000101010100000100111100000100100101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010011010011110000000010101010000010011110000010010010100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101001101001111000000001010101000001001111000001001001010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111100110100111100000000101010100000100111100011111111101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011110011010011110000000010101010000010011110001111111110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111001101001111000000001010101000001110001111111111111010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111100110100111100000000101010100000111000111111111111101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001011010011110000000010101010000011100011110101010110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100101101001111000000001010101000001110001111010101011010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110010110100111100000000101010100000111000111101010101101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001011010011110000000010101010000011100011110101010110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110101101001111000000001010101000001110001111111111111010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111010110100111100000000101010100000111000111111111111101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101011010011110000000010101010000101100010011111111110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110101101001111000000001010101000010110001001111111111010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110110110100111100000000101010100001011000100100100100101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011011011010011110000000010101010000101100010010000000010100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101101101001111000000001010101000010110001001000000001010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110110110100111100000000101010100001011000100100000000101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111011010011110000000010101010000101100010011111111110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111101101001111000000001010101000010110001001111111111010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111110110100111100000000101010100001011100100111111111101000000000011000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111011010011110000000010101010000101110010011111111110100000000001100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100011101001111000000001010101000010111001001000000001010000000000110001010000001010100000110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110001110100111100000000101010100001011100100100000000101000000000111000101000000101010000011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000111010011110000000010101010000101110010010000000010100000000011100010100000010101000001100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100011101001111000000001010101000010111001001000000001010000000001110001010000001000101010110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111001110100111100000000101010100001011100100111111111101000000000111000101000000100010101011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011100111010011110000000010101010000101110010011111111110100000000011100010100000010001010101100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110011101001111000000001010101010001001111000111111111010000000001110001010000001000101010110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111001110100111100000000101010101000100111100011111111101000000000111000101000000100010101011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010111010011110000000010101010100010011110001111100010100000000011100010100000010001010101100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101011101001111000000001010101010001001111000001001001010000000001110001010000001000101010110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110101110100111100000000101010101000100111100000100100101000000000111000101000000100010101011001000101000001100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011010111010011110000000010101010100010011110000010010010100000000011100010100000010001010101100100010100000110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111011101001111000000001010101010001001111000111111111010000000001110001010000001000101010110010001010000011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111101110100111100000000101010101000100111100011111111101000000000111000101000000100010101011001000001010101100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011110111010011110000000010101010000011100011111111111110100000000011100010100000010001010101100100000101010110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111011101001111000000001010101000001110001111111111111010000000001110001010000001000101010110010000010101011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110011110100111100000000101010100000111000111101010101101000000000111000101000000100010101011001000001010101100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011001111010011110000000010101010000011100011110101010110100000000011100010100000010001010101100100000101010110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001100111101001111000000001010101000001110001111010101011010000000001110001010000001000101010110010000010101011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110011110100111100000000101010100000111000111101010101101000000000111000101000000100010101011001000001010101100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101111010011110000000010101010000011100011111111111110100000000011100010100000010001010101100100000101010110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001110111101001111000000001010101000001110001111111111111010000000001110001010000001000101010110010000010101011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111011110100111100000000101010100001011000100111111111101000000000111000101000000100010101011001000001010101100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011101111010011110000000010101010000101100010011111111110100000000011100010100000010001010101100100000101010110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101111101001111000000001010101000010110001001001001001010000000001110001010000001000101010110010000010101011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000110111110100111100000000101010100001011000100100000000101000000000111000101000000100010101011001000001010101100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011011111010011110000000010101010000101100010010000000010100000000011100010100000010001010101100100000101010110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001101111101001111000000001010101000010110001001000000001010000000001110001010000001000101010110010000010101011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111111110100111100000000101010100001011000100111111111101000000000111000101000000100010101011001000001010101100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011111111010011110000000010101010000101100010011111111110100000000011100010100000010001010101100100000101010110010011100000000010000000000100000000001000000000010000001110110010101000010000111100011110001111111101001111000000001010101000010111001001111111111010000000001110001010000001000101010110010000010101011001001110000000001000000000010000000000100000000001000000111011001010100001000011110001111000111111110100111100000000101010100001011100100111111111101000000000111000101000000100010101011001000001010101100100111000000000100000000001000000000010000000000100000011101100101010000100001111000111100011000000110011110000000010101010000101110010010000000010100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100000011001111000000001010101000010111001001000000001010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110000001100111100000000101010100001011100100100000000101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000000110011110000000010101010000101110010010000000010100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110000011001111000000001010101000010111001001111111111010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111000001100111100000000101010100001011100100111111111101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100000110011110000000010101010000011011110001111111110100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110000011001111000000001010101000001101111000111111111010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110100001100111100000000101010100000110111100011111000101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010000110011110000000010101010000011011110000010010010100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101000011001111000000001010101000001101111000001001001010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110100001100111100000000101010100000110111100000100100101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110000110011110000000010101010000011011110001111111110100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111000011001111000000001010101000001101111000111111111010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111100001100111100000000101010100000111000111111111111101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110000110011110000000010101010000011100011111111111110100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100100011001111000000001010101000001110001111010101011010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110010001100111100000000101010100000111000111101010101101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001000110011110000000010101010000011100011110101010110100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100100011001111000000001010101000001110001111010101011010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111010001100111100000000101010100000111000111111111111101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101000110011110000000010101010000011100011111111111110100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110100011001111000000001010101000010110001001111111111010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111010001100111100000000101010100001011000100111111111101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011000110011110000000010101010000101100010010010010010100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101100011001111000000001010101000010110001001000000001010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110110001100111100000000101010100001011000100100000000101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011000110011110000000010101010000101100010010000000010100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111100011001111000000001010101000010110001001111111111010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111110001100111100000000101010100001011000100111111111101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111000110011110000000010101010000101110010011111111110100000000011100010100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111100011001111000000001010101000010111001001111111111010000000001110001010000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110001001100111100000000101010100001011100100100000000101000000000111000101000000100010101011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000100110011110000000010101010000101110010010000000010100000000001100011100000010001010101100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100010011001111000000001010101000010111001001000000001010000000000110001110000001000101010110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110001001100111100000000101010100001011100100100000000101000000000011000111000000100010110011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100100110011110000000010101010000101110010011111111110100000000001100011100000010001011001100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110010011001111000000001010101000010111001001111111111010000000000110001110000001000101100110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111001001100111100000000101010101000110111100011111111101000000000011000111000000100010110011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100100110011110000000010101010100011011110001111111110100000000001100011100000010001011001100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101010011001111000000001010101010001101111000111110001010000000000110001110000001000101100110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110101001100111100000000101010101000110111100000100100101000000000011000111000000100010110011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010100110011110000000010101010100011011110000010010010100000000001100011100000010001011001100100000101010110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101010011001111000000001010101010001101111000001001001010000000000110001110000001000101100110010000010101011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111101001100111100000000101010101000110111100011111111101000000000011000111000000100010110011001000001010101100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110100110011110000000010101010100011011110001111111110100000000001100011100000010001011001100100000101100110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111010011001111000000001010101000001110001111111111111010000000000110001110000001000101100110010000010110011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111101001100111100000000101010100000111000111111111111101000000000011000111000000100010110011001000001011001100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001100110011110000000010101010000011100011110101010110100000000001100011100000010001011001100100000101100110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100110011001111000000001010101000001110001111010101011010000000000110001110000001000101100110010000010110011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110011001100111100000000101010100000111000111101010101101000000000011000111000000100010110011001000001011001100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001100110011110000000010101010000011100011110101010110100000000001100011100000010001011001100100000101100110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110110011001111000000001010101000001110001111111111111010000000000110001110000001000101100110010000010110011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111011001100111100000000101010100000111000111111111111101000000000011000111000000100010110011001000001011001100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101100110011110000000010101010000101100010011111111110100000000001100011100000010001011001100100000101100110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110110011001111000000001010101000010110001001111111111010000000000110001110000001000101100110010000010110011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110111001100111100000000101010100001011000100100100100101000000000011000111000000100010110011001000001011001100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011100110011110000000010101010000101100010010000000010100000000001100011100000010001011001100100000101100110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101110011001111000000001010101000010110001001000000001010000000000110001110000001000101100110010000010110011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000110111001100111100000000101010100001011000100100000000101000000000011000111000000100010110011001000001011001100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111100110011110000000010101010000101100010011111111110100000000001100011100000010001011001100100000101100110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111110011001111000000001010101000010110001001111111111010000000000110001110000001000101100110010000010110011001001010000000000000000000000000000000000000000000000000111001001110100001000011110001111000111111001100111100000000101010100001011100100111111111101000000000011000111000000100010110011001000001011001100100101000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111100110011110000000010101010000101110010011111111110100000000001100011100000010001011001100100000101100110010010100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100001011001111000000001010101000010111001001000000001010000000000110001110000001000101100110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110000101100111100000000101010100001011100100100000000101000000000011000100100000100010110011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000010110011110000000010101010000101110010010000000010100000000001100010010000010001011001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100001011001111000000001010101000010111001001000000001010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111000101100111100000000101010100001011100100111111111101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100010110011110000000010101010000101110010011111111110100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110001011001111000000001010101000001011111000111111111010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111000101100111100000000101010100000101111100011111111101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010010110011110000000010101010000010111110000000000010100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101001011001111000000001010101000001011111000001001001010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110100101100111100000000101010100000101111100000100100101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010010110011110000000010101010000010111110000010010010100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111001011001111000000001010101000001011111000111111111010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111100101100111100000000101010100000101111100011111111101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110010110011110000000010101010100011100011111111111110100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111001011001111000000001010101010001110001111111111111010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110010101100111100000000101010101000111000111101010101101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001010110011110000000010101010100011100011110101010110100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100101011001111000000001010101010001110001111010101011010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110010101100111100000000101010101000111000111101010101101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101010110011110000000010101010100011100011111111111110100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110101011001111000000001010101010001110001111111111111010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111010101100111100000000101010100001011000100111111111101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101010110011110000000010101010000101100010011111111110100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101101011001111000000001010101000010110001001001001001010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110110101100111100000000101010100001011000100100000000101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011010110011110000000010101010000101100010010000000010100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101101011001111000000001010101000010110001001000000001010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111110101100111100000000101010100001011000100111111111101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111010110011110000000010101010000101100010011111111110100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111101011001111000000001010101000010111001001111111111010000000000110001001000001001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111110101100111100000000101010100001011100100111111111101000000000011000100100000100100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000110110011110000000010101010000101110010010000000010100000000001100010010000010010000001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100011011001111000000001010101000010111001001000000001010000000001000000110111110001000000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110001101100111100000000101010100001011100100100000000101000000000100000011011111000100000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000110110011110000000010101010000101110010010000000010100000000010000001101111100000010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110011011001111000000001010101000010111001001111111111010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111001101100111100000000101010100001011100100111111111101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100110110011110000000010101010100010111110001111111110100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110011011001111000000001010101010001011111000111111111010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110101101100111100000000101010101000101111100000000000101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010110110011110000000010101010100010111110000010010010100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101011011001111000000001010101010001011111000001001001010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110101101100111100000000101010101000101111100000100100101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110110110011110000000010101010100010111110001111111110100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111011011001111000000001010101010001011111000111111111010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111101101100111100000000101010101000111000111111111111101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110110110011110000000010101010100011100011111111111110100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100111011001111000000001010101010001110001111010101011010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110011101100111100000000101010101000111000111101010101101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001110110011110000000010101010100011100011110101010110100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100111011001111000000001010101010001110001111010101011010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111011101100111100000000101010101000111000111111111111101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101110110011110000000010101010100011100011111111111110100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110111011001111000000001010101000010110001001111111111010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111011101100111100000000101010100001011000100111111111101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011110110011110000000010101010000101100010010010010010100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101111011001111000000001010101000010110001001000000001010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110111101100111100000000101010100001011000100100000000101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011110110011110000000010101010000101100010010000000010100000000010000001101111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111111011001111000000001010101000010110001001111111111010000000001000000110111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111111101100111100000000101010100001011000100111111111101000000000100000011011111011110101011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111110110011110000000010101010000101110010011111111110100000000000000000011111101111010101100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111111011001111000000001010101000010111001001111111111010000000000000000001111110111101010110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110000011100111100000000101010100001011100100100000000101000000000000000000111111011101000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000001110011110000000010101010000101110010010000000010100000000000000000011111101110100001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100000111001111000000001010101000010111001001000000001010000000000000000001111110111010000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110000011100111100000000101010100001011100100100000000101000000000000000000111111011101000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100001110011110000000010101010000101110010011111111110100000000000000000011111101110100001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110000111001111000000001010101000010111001001111111111010000000000000000001111110111010000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111000011100111100000000101010100000111111100011111111101000000000000000000111111011101000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100001110011110000000010101010000011111110001111111110100000000000000000011111101110100001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101000111001111000000001010101000001111111000000000001010000000000000000001111110111010000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110100011100111100000000101010100000111111100000100100101000000000000000000111111011101000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010001110011110000000010101010000011111110000010010010100000000000000000011111101110100001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101000111001111000000001010101000001111111000001001001010000000000000000001111110111010000110010000010110011001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111100011100111100000000101010100000111111100011111111101000000000000000000111111011101000011001000001011001100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110001110011110000000010101010000011111110001111111110101110100000000000011111101110100001100100000101100110010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111000111001111000000001010101010001110001111111111111010111010000000000001111110111010000110010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111100011100111100000000101010101000111000111111111111101011101000000000000111111011101000011001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001001110011110000000010101010100011100011110101010110101110100000000000011111101110100001100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100100111001111000000001010101010001110001111010101011010111010000000000001111110111010000110010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110010011100111100000000101010101000111000111101010101101011101000000000000111111011101000011001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001001110011110000000010101010100011100011110101010110101110100000000000011111101110100001100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110100111001111000000001010101010001110001111111111111010111010000000000001111110111010000110010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111010011100111100000000101010101000111000111111111111101011101000000000000111111011101000011001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101001110011110000000010101010000101100010011111111110101110100000000000011111101110100001100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110100111001111000000001010101000010110001001111111111010111010000000000001111110111010000110010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110110011100111100000000101010100001011000100100100100101011101000000000000111111011101000011001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011001110011110000000010101010000101100010010000000010101110100000000000011111101110100001100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101100111001111000000001010101000010110001001000000001010111010000000000001111110111010000110010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110110011100111100000000101010100001011000100100000000101011101000000000000111111011101000011001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111001110011110000000010101010000101100010011111111110101110100000000000011111101110100001100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111100111001111000000001010101000010110001001111111111010111010000000000001111110111010000110010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111110011100111100000000101010100001011100100111111111101011101000000000000111111011101000011001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111001110011110000000010101010000101110010011111111110101110100000000000011111101110100001100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100010111001111000000001010101000010111001001000000001010111010000000000001111110111010000110010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110001011100111100000000101010100001011100100100000000101011101000111000111000000111101000001001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000101110011110000000010101010000101110010010000000010101110100011100011100000011110100000100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100010111001111000000001010101000010111001001000000001010111010001110001110000001000000000010010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111001011100111100000000101010100001011100100111111111101011101000111000111000000110100001001001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011100101110011110000000010101010000101110010011111111110101110100011100011100000011010000100100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110010111001111000000001010101010001111111000111111111010111010001110001110000001101000010010010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111001011100111100000000101010101000111111100011111111101011101000111000111000000110100001001001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010101110011110000000010101010100011111110000000000010101110100011100011100000011010000100100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101010111001111000000001010101010001111111000001001001010111010001110001110000001101000010010010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110101011100111100000000101010101000111111100000100100101011101000111000111000000110100001001001000001011000100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011010101110011110000000010101010100011111110000010010010101110100011100011100000011010000100100100000101100010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111010111001111000000001010101010001111111000111111111010111010001110001110000001101000010010010000010110001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111101011100111100000000101010101000111111100011111111101011101000111000111000000110100001001001001010000100100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011110101110011110000000010101010100011100011111111111110101110100011100011100000011010000100100100101000010010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111010111001111000000001010101010001110001111111111111010111010001110001110000001101000010010010010100001001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110011011100111100000000101010101000111000111101010101101011101000111000111000000110100001001001001010000100100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011001101110011110000000010101010100011100011110101010110101110100011100011100000011010000100100100101000010010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001100110111001111000000001010101010001110001111010101011010111010001110001110000001101000010010010010100001001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110011011100111100000000101010101000111000111101010101101011101000111000111000000110100001001001001010000100100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101101110011110000000010101010100011100011111111111110101110100011100011100000011010000100100100101000010010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001110110111001111000000001010101010001110001111111111111010111010001110001110000001101000010010010010100001001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111011011100111100000000101010100001011000100111111111101011101000111000111000000110100001001001001010000100100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011101101110011110000000010101010000101100010011111111110101110100011100011100000011010000100100100101000010010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101110111001111000000001010101000010110001001001001001010111010001110001110000001101000010010010010100001001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000110111011100111100000000101010100001011000100100000000101011101000111000111000000110100001001001001010000100100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011011101110011110000000010101010000101100010010000000010101110100011100011100000011010000100100100101000010010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001101110111001111000000001010101000010110001001000000001010111010001110001110000001101000010010010010100001001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111111011100111100000000101010100001011000100111111111101011101000111000111000000110100001001001001010000100100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011111101110011110000000010101010000101100010011111111110101110100011100011100000011010000100100100101000010010010011100000000000000000000000000000000000000000000000001110010011101000010000111100011110001111110111001111000000001010101000010111001001111111111010111010001110001110000001101000010010010010100001001001001110000000000000000000000000000000000000000000000000111001001110100001000011110001111000111111011100111100000000101010100001011100100111111111101011101000111000111000000110100001001001001010000100100100111000000000000000000000000000000000000000000000000011100100111010000100001111000111100011000011110011110000000010101010000101110010010000000010101110100011100011100000011010000100100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001100001111001111000000001010101000010111001001000000001010111010000110001001000001101000010010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110000111100111100000000101010100001011100100100000000101011101000011000100100000110100001001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011000011110011110000000010101010000101110010010000000010101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001110001111001111000000001010101000010111001001111111111010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111000111100111100000000101010100001011100100111111111101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011100011110011110000000010101010010010000110001111111110101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001110001111001111000000001010101001001000011000111111111010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110100111100111100000000101010100100100001100011100000101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011010011110011110000000010101010010010000110000010010010101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001101001111001111000000001010101001001000011000001001001010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110100111100111100000000101010100100100001100000100100101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011110011110011110000000010101010010010000110001111111110101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001111001111001111000000001010101001001000011000111111111010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111100111100111100000000101010100100100000111111111111101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011110011110011110000000010101010010010000011111111111110101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001100101111001111000000001010101001001000001111000000001010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110010111100111100000000101010100100100000111100000000101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011001011110011110000000010101010010010000011110000000010101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001100101111001111000000001010101001001000001111000000001010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111010111100111100000000101010100100100000111111111111101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011101011110011110000000010101010010010000011111111111110101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001110101111001111000000001010101000010110001001111111111010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111010111100111100000000101010100001011000100111111111101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011011011110011110000000010101010000101100010010010010010101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001101101111001111000000001010101000010110001001000000001010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110110111100111100000000101010100001011000100100000000101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011011011110011110000000010101010000101100010010000000010101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001111101111001111000000001010101000010110001001111111111010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111110111100111100000000101010100001011000100111111111101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011111011110011110000000010101010000101110010011111111110101110100001100010010000010010000000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001111101111001111000000001010101000010111001001111111111010111010000110001001000001001000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110001111100111100000000101010100001011100100100000000101011101000011000100100000100100000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011000111110011110000000010101010000101110010010000000010101110100000000000100000000010000011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001100011111001111000000001010101000010111001001000000001110111010000000000010000000001000001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110001111100111100000000101010100001011100100100000000111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011100111110011110000000010101010000101110010011111111111101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001110011111001111000000001010101000010111001001111111111110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111001111100111100000000101010101100100001100011111111111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011100111110011110000000010101010110010000110001111111111101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001101011111001111000000001010101011001000011000111000001110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110101111100111100000000101010101100100001100000100100111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011010111110011110000000010101010110010000110000010010011101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001101011111001111000000001010101011001000011000001001001110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111101111100111100000000101010101100100001100011111111111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011110111110011110000000010101010110010000110001111111111101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001111011111001111000000001010101001001000001111111111111110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111101111100111100000000101010100100100000111111111111111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011001111110011110000000010101010010010000011110000000011001110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001100111111001111000000001010101001001000001111000000001100111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110011111100111100000000101010100100100000111100000000110011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011001111110011110000000010101010010010000011110000000011001110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001110111111001111000000001010101001001000001111111111111110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111011111100111100000000101010100100100000111111111111111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011101111110011110000000010101010000101100010011111111111101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001110111111001111000000001010101000010110001001111111111110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110111111100111100000000101010100001011000100100100100111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011011111110011110000000010101010000101100010010000000011101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001101111111001111000000001010101000010110001001000000001110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000110111111100111100000000101010100001011000100100000000111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011111111110011110000000010101010000101100010011111111111101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001111111111001111000000001010101000010110001001111111111110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110001111000111111111100111100000000101010100001011100100111111111111011101000000000001000000011101000111010001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000111100011111111110011110000000010101010000101110010011111111111101110100000000000100000001110100011101000101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100011110001100000000101111000000001010101000010111001001000000001110111010000000000010000000111010001110100010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000010000000010111100000000101000000001011100100100000000111011101000111000100100000111101000001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001000000001011110000000010100000000101110010010000000010101110100011100010010000011111111100100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100000000101111000000001010000000010111001001000000001010111010001110001001000001000000000010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000011000000010111100000000101000000001011100100111111111101011101000111000100100000100010110001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001100000001011110000000010100000000101110010011111111110101110100011100010010000010001011000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110000000101111000000001010000000010111001001111111111010111010001110001001000001000101100010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000011000000010111100000000101000000001011100100111111111101011101000111000100100000100010110001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001010000001011110000000010100000000101110010010000000010101110100011100010010000010001011000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101000000101111000000001010000000010111001001000000001010111010001110001001000001000101100010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000010100000010111100000000101000000001011100100100000000101011101000111000100100000100010110001001001010000100100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001010000001011110000000010100000000101110010010000000010101110100011100010010000010001011000100100101000010010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111000000101111000000001010000000010111001001111111111010111010001110001001000001000101100010010010100001001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000011100000010111100000000101000000001011100100111111111101011101000111000100100000100010110001001000001011000100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001110000001011110000000010100000000101110010011111111110101110100011100010010000010001011000100100000101100010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111000000101111000000001010000000010111001001111111111010111010001110001001000001000101100010010000010110001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000010010000010111100000000101000000001011100100100000000101011101000111000100100000100010110001001000001011000100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001001000001011110000000010100000000101110010010000000010101110100011100010010000010001011000100100000101100010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100100000101111000000001010000000010111001001000000001010111010001110001001000001000101100010010000010110001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000010010000010111100000000101000000001011100100100000000101011101000111000100100000100010110001001000001011000100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001101000001011110000000010100000000101110010011111111110101110100011100010010000010001011000100100000101100010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110100000101111000000001010000011100110111111111111111010111010001110001001000001000101100010010000010110001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000011010000010111100000000101000001110011011111111111111101011101000111000100100000100010110001001000001011000100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001101000001011110000000010100000111001101111111111111110101110100011100010010000010001011000100100000101100010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101100000101111000000001010000011100110111111000000001010111010001110001001000001000101100010010000010110001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000010110000010111100000000101000001110011011111100000000101011101000111000100100000100010110001001000001011000100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001011000001011110000000010100000111001101111110000000010101110100011100010010000010001011000100100000101100010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101100000101111000000001010000011100110111111000000001010111010001110001001000001000101100010010000010110001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000011110000010111100000000101000001110011011111111111111101011101000111000100100000100010110001001000001011000100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001111000001011110000000010100000111001111111111111111110101110100011100010010000010001011000100100000101100010010010100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111100000101111000000001010000011100111111111111111111010111010001110001001000001000101100010010000010110001001001010000000001000000000000000000000000000000000000000111001001110100001000011110000000000011110000010111100000000101000001110011111111111111111101011101000111000100100000100010110001001000001011000100100101000000000100000000000000000000000000000000000000011100100111010000100001111000000000001000100001011110000000010100000111001111111110000000010101110100011100010010000010001011000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100010000101111000000001010000011100111111111000000001010111010001110001101000001000101100010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010001000010111100000000101000001110011111111100000000101011101000111000110100000100010110001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001000100001011110000000010100000111001111111110000000010101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110010000101111000000001010000011100111111111111111111010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011001000010111100000000101000001110011111111111111111101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001100100001011110000000010100000111001111111111111111110101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110010000101111000000001010000011100111111111111111111010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010101000010111100000000101000001110011111111100000000101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001010100001011110000000010100000111001111111110000000010101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101010000101111000000001010000011100111111111000000001010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010101000010111100000000101000001110011111111100000000101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001110100001011110000000010100000111001111111111111111110101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111010000101111000000001010000011100111111111111111111010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011101000010111100000000101000001110011111111111111111101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001110100001011110000000010100000111001111111111111111110101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100110000101111000000001010000011100111111111000000001010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010011000010111100000000101000001110011111111100000000101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001001100001011110000000010100000111001111111110000000010101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100110000101111000000001010000011100111111111000000001010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011011000010111100000000101000001110011111111111111111101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001101100001011110000000010100000011000001111111111111110101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110110000101111000000001010000001100000111111111111111010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011011000010111100000000101000000110000011111111111111101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001011100001011110000000010100000011000001111110000000010101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101110000101111000000001010000001100000111111000000001010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010111000010111100000000101000000110000011111100000000101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001011100001011110000000010100000011000001111110000000010101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111110000101111000000001010000001100000111111111111111010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011111000010111100000000101000000110000111111111111111101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001111100001011110000000010100000011000011111111111111110101110100011100011010000011010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111110000101111000000001010000001100001111111111111111010111010001110001101000001101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010000100010111100000000101000000110000111111100000000101011101000111000110100000110100000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001000010001011110000000010100000011000011111110000000010101110100000000000011111101010000000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100001000101111000000001010000001100001111111000000001010111010000000000001111110101000000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010000100010111100000000101000000110000111111100000000101011101000000000000111111001000000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001100010001011110000000010100000011000011111111111111110101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110001000101111000000001010000001100001111111111111111010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011000100010111100000000101000000110000111111111111111101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001100010001011110000000010100000011000011111111111111110101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101001000101111000000001010000001100001111111000000001010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010100100010111100000000101000000110000111111100000000101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001010010001011110000000010100000011000011111110000000010101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101001000101111000000001010000001100001111111000000001010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011100100010111100000000101000000110000111111111111111101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001110010001011110000000010100000011000011111111111111110101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111001000101111000000001010000001100001111111111111111010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011100100010111100000000101000000110000111111111111111101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001001010001011110000000010100000011000011111110000000010101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100101000101111000000001010000001100001111111000000001010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010010100010111100000000101000000110000111111100000000101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001001010001011110000000010100000011000011111110000000010101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110101000101111000000001010000001100001111111111111111010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011010100010111100000000101000001110011011111111111111101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001101010001011110000000010100000111001101111111111111110101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110101000101111000000001010000011100110111111111111111010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010110100010111100000000101000001110011011111100000000101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001011010001011110000000010100000111001101111110000000010101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101101000101111000000001010000011100110111111000000001010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010110100010111100000000101000001110011011111100000000101011101000000000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001111010001011110000000010100000111001101111111111111110101110100000000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111101000101111000000001010000011100111111111111111111010111010000000000001111110111010000010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011110100010111100000000101000001110011111111111111111101011101000100000000111111011101000001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001111010001011110000000010100000111001111111111111111110101110100010000000011111101110100000100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100011000101111000000001010000011100111111111000000001010111010001000000001111110010000010010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010001100010111100000000101000001110011111111100000000101011101000100000000111111001000001001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001000110001011110000000010100000111001111111110000000010101110100010000000011111100100000100100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100011000101111000000001010000011100111111111000000001010111010001000000001111110010000010010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011001100010111100000000101000001110011111111111111111101011101000100000000111111001000001001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001100110001011110000000010100000111001111111111111111110101110100010000000011111100100000100100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110011000101111000000001010000011100111111111111111111010111010001000000001111110010000010010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011001100010111100000000101000001110011111111111111111101011101000100000000111111001000001001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001010110001011110000000010100000111001111111110000000010101110100010000000011111100100000100100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101011000101111000000001010000011100111111111000000001010111010001000000001111110010000010010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010101100010111100000000101000001110011111111100000000101011101000100000000111111001000001001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001010110001011110000000010100000111001111111110000000010101110100010000000011111100100000100100100000101100010010011100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111011000101111000000001010000011100111111111111111111010111010001000000001111110010000010010010000010110001001001110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011101100010111100000000101000001110011111111111111111101001000001100000000111111001000001001001000001011000100100111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001110110001011110000000010100000111001111111111111111110100100000110000000011111100100000100100100000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111011000101111000000001010000011100111111111111111111010010000011000000001111110010000010010010000010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010011100010111100000000101000001110011111111100000000101001000001100000000111111001000001001001000001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001001110001011110000000010100000111001111111110000000010100100000110000000011111100100000100100100000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100111000101111000000001010000011100111111111000000001010010000011000000001111110010000010010010000010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010011100010111100000000101000001110011111111100000000101001000001100000000111111001000001001001000001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001101110001011110000000010100000111001111111111111111110100100000110000000011111100100000100100100000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110111000101111000000001010000011100110111111111111111010010000011000000001111110010000010010010000010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011011100010111100000000101000001110011011111111111111101001000001100000000111111001000001001001000001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001101110001011110000000010100000111001101111111111111110100100000110000000011111100100000100100100000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101111000101111000000001010000011100110111111000000001010010000011000000001111110010000010010010000010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010111100010111100000000101000001110011011111100000000101001000001100000000111111001000001001001000001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001011110001011110000000010100000111001101111110000000010100100000110000000011111100100000100100100000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101111000101111000000001010000011100110111111000000001010010000011000000001111110010000010010010000010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011111100010111100000000101000001110011011111111111111101001000001100000000111111001000001001001000001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001111110001011110000000010100000111001111111111111111110100100000110000000011111100100000100100100000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111111000101111000000001010000011100111111111111111111010010000011000000001111110010000010010010000010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011111100010111100000000101000001110011111111111111111101001000001100000000111111001000001001001000001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001000001001011110000000010100000111001111111110000000010100100000110000000011111100100000100100100000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100000100101111000000001010000011100111111111000000001010010000010110001101000001010000010010010100010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010000010010111100000000101000001110011111111100000000101001000001011000110100000101000001001001010001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001000001001011110000000010100000111001111111110000000010100100000101100011010000010000000000100101000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110000100101111000000001010000011100111111111111111111010010000010110001101000001101000010010010100010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011000010010111100000000101000001110011111111111111111101001000001011000110100000110100001001001010001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001100001001011110000000010100000111001111111111111111110100100000101100011010000011010000100100101000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110000100101111000000001010000011100111111111111111111010010000010110001101000001101000010010010100010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010100010010111100000000101000001110011111111100000000101001000001011000110100000110100001001001010001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001010001001011110000000010100000111001111111110000000010100100000101100011010000011010000100100101000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101000100101111000000001010000011100111111111000000001010010000010110001101000001101000010010010100010110001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010100010010111100000000101000001110011111111100000000101001000001011000110100000110100001001001010001011000100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001110001001011110000000010100000111001111111111111111110100100000101100011010000011010000100100101000101100010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111000100101111000000001010000011100111111111111111111010010000010110001101000001101000010010010110100001001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011100010010111100000000101000001110011111111111111111101001000001011000110100000110100001001001011010000100100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001110001001011110000000010100000111001111111111111111110100100000101100011010000011010000100100101101000010010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100100100101111000000001010000011100111111111000000001010010000010110001101000001101000010010010110100001001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010010010010111100000000101000001110011111111100000000101001000001011000110100000110100001001001011010000100100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001001001001011110000000010100000111001111111110000000010100100000101100011010000011010000100100101101000010010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000100100100101111000000001010000011100111111111000000001010010000010110001101000001101000010010010110100001001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011010010010111100000000101000001110011111111111111111101001000001011000110100000110100001001001011010000100100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001101001001011110000000010100000111001101111111111111110100100000101100011010000011010000100100101101000010010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000110100100101111000000001010000011100110111111111111111010010000010110001101000001101000010010010110100001001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011010010010111100000000101000001110011011111111111111101001000001011000110100000110100001001001011010000100100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001011001001011110000000010100000111001101111110000000010100100000101100011010000011010000100100101101000010010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000101100100101111000000001010000011100110111111000000001010010000010110001101000001101000010010010110100001001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010110010010111100000000101000001110011011111100000000101001000001011000110100000110100001001001011010000100100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001011001001011110000000010100000111001101111110000000010100100000101100011010000011010000100100101101000010010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111100100101111000000001010000011100110111111111111111010010000010110001101000001101000010010010110100001001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000011110010010111100000000101000001110011111111111111111101001000001011000110100000110100001001001011010000100100101111000000000100000000000000000000000000000000000000011100100111010000100001111000000000001111001001011110000000010100000111001111111111111111110100100000101100011010000011010000100100101101000010010010111100000000010000000000000000000000000000000000000001110010011101000010000111100000000000111100100101111000000001010000011100111111111111111111010010000010110001101000001101000010010010110100001001001011110000000001000000000000000000000000000000000000000111001001110100001000011110000000000010001010010111100000000101000001110011111111100000000101001000001011000110100000110100001001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001000101001011110000000010100000111001111111110000000010100100000111100011010000011010000100100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100010100101111000000001010000011100111111111000000001010010000011110001101000001101000010010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010001010010111100000000101000001110011111111100000000101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001100101001011110000000010100000111001111111111111111110100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110010100101111000000001010000011100111111111111111111010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011001010010111100000000101000001110011111111111111111101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001100101001011110000000010100000111001111111111111111110100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101010100101111000000001010000011100111111111000000001010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010101010010111100000000101000001110011111111100000000101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001010101001011110000000010100000111001111111110000000010100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101010100101111000000001010000011100111111111000000001010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011101010010111100000000101000001110011111111111111111101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001110101001011110000000010100000111001111111111111111110100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111010100101111000000001010000011100111111111111111111010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011101010010111100000000101000001110011111111111111111101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001001101001011110000000010100000111001111111110000000010100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100110100101111000000001010000011100111111111000000001010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010011010010111100000000101000001110011111111100000000101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001001101001011110000000010100000111001111111110000000010100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110110100101111000000001010000011100111111111111111111010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011011010010111100000000101000001110011011111111111111101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001101101001011110000000010100000111001101111111111111110100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110110100101111000000001010000011100110111111111111111010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010111010010111100000000101000001110011011111100000000101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001011101001011110000000010100000111001101111110000000010100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101110100101111000000001010000011100110111111000000001010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010111010010111100000000101000001110011011111100000000101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001111101001011110000000010100000111001101111111111111110100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111110100101111000000001010000011100111111111111111111010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011111010010111100000000101000001110011111111111111111101001000001111000110100000110100000001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001111101001011110000000010100000111001111111111111111110100100000111100011010000011010000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100001100101111000000001010000011100111111111000000001010010000011110001101000001101000000010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010000110010111100000000101000001110011111111100000000101001000001100000001000000010100000010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001000011001011110000000010100000111001111111110000000011100100000110000000100000000000000001000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100001100101111000000001010000011100111111111000000001110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011000110010111100000000101000001110011111111111111111111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001100011001011110000000010100000111001111111111111111111100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110001100101111000000001010000011100111111111111111111110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011000110010111100000000101000001110011111111111111111111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001010011001011110000000010100000111001111111110000000011100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101001100101111000000001010000011100111111111000000001110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010100110010111100000000101000001110011111111100000000111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001010011001011110000000010100000111001111111110000000011100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111001100101111000000001010000011100111111111111111111110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011100110010111100000000101000001110011111111111111111111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001110011001011110000000010100000111001111111111111111111100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111001100101111000000001010000011100111111111111111111110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010010110010111100000000101000001110011111111100000000110001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001001011001011110000000010100000111001111111110000000011000100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100101100101111000000001010000011100111111111000000001100010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010010110010111100000000101000001110011111111100000000110001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001101011001011110000000010100000111001111111111111111111100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110101100101111000000001010000011100110111111111111111110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011010110010111100000000101000001110011011111111111111111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001101011001011110000000010100000111001101111111111111111100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101101100101111000000001010000011100110111111000000001110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010110110010111100000000101000001110011011111100000000111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001011011001011110000000010100000111001101111110000000011100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101101100101111000000001010000011100110111111000000001110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011110110010111100000000101000001110011011111111111111111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001111011001011110000000010100000111001111111111111111111100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111101100101111000000001010000011100111111111111111111110010000011000000010000000010000010100000110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011110110010111100000000101000001110011111111111111111111001000001100000001000000001000001010000011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001000111001011110000000010100000111001111111110000000011100100000110000000100000000100000101000001101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100011100101111000000001010000011100111111111000000001110010000010110001011000001010000010010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010001110010111100000000101000001110011111111100000000101001000001011000101100000111111111001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001000111001011110000000010100000111001111111110000000010100100000101100010110000010000000000100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110011100101111000000001010000011100111111111111111111010010000010110001011000001000100110010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011001110010111100000000101000001110011111111111111111101001000001011000101100000100010011001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001100111001011110000000010100000111001111111111111111110100100000101100010110000010001001100100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110011100101111000000001010000011100111111111111111111010010000010110001011000001000100110010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010101110010111100000000101000001110011111111100000000101001000001011000101100000100010011001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001010111001011110000000010100000111001111111110000000010100100000101100010110000010001001100100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101011100101111000000001010000011100111111111000000001010010000010110001011000001000100110010010110100001001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010101110010111100000000101000001110011111111100000000101001000001011000101100000100010011001001011010000100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001110111001011110000000010100000111001111111111111111110100100000101100010110000010001001100100101101000010010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111011100101111000000001010000011100111111111111111111010010000010110001011000001000100110010010100010011001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011101110010111100000000101000001110011111111111111111101001000001011000101100000100010011001001010001001100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001110111001011110000000010100000111001111111111111111110100100000101100010110000010001001100100101000100110010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100111100101111000000001010000011100111111111000000001010010000010110001011000001000100110010010100010011001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010011110010111100000000101000001110011111111100000000101001000001011000101100000100010011001001010001001100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001001111001011110000000010100000111001111111110000000010100100000101100010110000010001001100100101000100110010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100111100101111000000001010000011100111111111000000001010010000010110001011000001000100110010010100010011001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011011110010111100000000101000001110011111111111111111101001000001011000101100000100010011001001010001001100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001101111001011110000000010100000111001101111111111111110100100000101100010110000010001001100100101000100110010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110111100101111000000001010000011100110111111111111111010010000010110001011000001000100110010010100010011001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011011110010111100000000101000001110011011111111111111101001000001011000101100000100010011001001010001001100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001011111001011110000000010100000111001101111110000000010100100000101100010110000010001001100100101000100110010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101111100101111000000001010000011100110111111000000001010010000010110001011000001000100110010010100010011001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010111110010111100000000101000001110011011111100000000101001000001011000101100000100010011001001010001001100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001011111001011110000000010100000111001101111110000000010100100000101100010110000010001001100100101000100110010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111111100101111000000001010000011100110111111111111111010010000010110001011000001000100110010010100010011001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000011111110010111100000000101000001110011111111111111111101001000001011000101100000100010011001001010001001100100101101000000000000000000001000000000000000000000000000011100100111010000100001111000000000001111111001011110000000010100000111001111111111111111110100100000101100010110000010001001100100101000100110010010110100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111111100101111000000001010000011100111111111111111111010010000010110001011000001000100110010010100010011001001011010000000000000000000010000000000000000000000000000111001001110100001000011110000000000010000001010111100000000101000001110011111111100000000101001000001011000101100000100010011001001010001001100100101111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001000000101011110000000010100000111001111111110000000010100100000101100010110000010001001100100101000100110010010111100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100000010101111000000001010000011100111111111000000001010010000010110001011000001000100110010010100010011001001011110000000000000000000010000000000000000000000000000111001001110100001000011110000000000010000001010111100000000101000001110011111111100000000101001000001011000101100000100010011001001010001001100100101111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001100000101011110000000010100000111001111111111111111110100100000101100010110000010001001100100101000100110010010111100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110000010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001011110000000000000000000010000000000000000000000000000111001001110100001000011110000000000011000001010111100000000001000000110000111111111111111101001000001011000101100000100010011001001010001001100100101111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001100000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010111100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101000010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001011110000000000000000000010000000000000000000000000000111001001110100001000011110000000000010100001010111100000000001000000110000111111111111111101001000001011000101100000100010011001001010001001100100101111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001010000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010111100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101000010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001011110000000000000000000010000000000000000000000000000111001001110100001000011110000000000011100001010111100000000001000000110000111111111111111101001000001011000101100000100010011001001010001001100100101111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001110000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010111100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111000010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000011100001010111100000000001000000110000111111111111111101001000001011000101100000100010011001001010001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001001000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100100010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000010010001010111100000000001000000110000111111111111111101001000001011000101100000100010011001001010001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001001000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110100010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000011010001010111100000000001000000110000011111111111111101001000001011000101100000100010011001001010001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001101000101011110000000000100000011000001111111111111110100100000101100010110000010001001100100101000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110100010101111000000000010000001100000111111111111111010010000010110001011000001000100110010010100010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000010110001010111100000000001000000110000011111111111111101001000001011000101100000100010011001001010001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001011000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101100010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000010110001010111100000000001000000110000111111111111111101001000001011000101100000100010011001001010001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001111000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000111100010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000011110001010111100000000001000000110000111111111111111101001000001011000101100000100010011001001010001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001111000101011110000000000100000011000011111111111111110100100000101100010110000010001001100100101000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100010010101111000000000010000001100001111111111111111010010000010110001011000001000100110010010100010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000010001001010111100000000001000001110011111111111111111101001000001111000101100000100010011001001000001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001000100101011110000000000100000111001111111111111111110100100000111100010110000010001001100100100000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000100010010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010000010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000011001001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001000001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001100100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000110010010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010000010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000011001001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001000001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000001010100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000101010010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010000010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000000101001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001000001001100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000010100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100000100110010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000011010010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010000010011001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000001101001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001001000110100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000110100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100100011010010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000011010010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010010001101001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000000011001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001001000110100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000001100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100100011010010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000000110010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010010001101001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000000011001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001001000110100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000101100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100100011010010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000010110010101111000000000010000011100110111111111111111010010000011110001011000001100011010010010010001101001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000001011001010111100000000001000001110011011111111111111101001000001111000101100000110001101001001001000110100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000101100101011110000000000100000111001101111111111111110100100000111100010110000011000110100100100100011010010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000001110010101111000000000010000011100110111111111111111010010000011110001011000001100011010010010010001101001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000000111001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001001000110100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000011100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100100011010010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000001110010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010010001101001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000001111001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001001000110100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000111100101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100100011010010010011100000000000000000000100000000000000000000000000001110010011101000010000111100000000000011110010101111000000000010000011100111111111111111111010010000011110001011000001100011010010010010001101001001001110000000000000000000010000000000000000000000000000111001001110100001000011110000000000001111001010111100000000001000001110011111111111111111101001000001111000101100000110001101001001001000110100100100111000000000000000000001000000000000000000000000000011100100111010000100001111000000000000000010101011110000000000100000111001111111111111111110100100000111100010110000011000110100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000001010101111000000000010000011100111111111111111111010010000010110001111000001100011010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000000101010111100000000001000001110011111111111111111101001000001011000111100000110001101001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000000010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010001010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001000101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010001010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000100101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000010010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001001010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000100101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000110010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011001010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001100101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000110010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000101010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000010101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000001010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000101010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001010101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000101010101011110000000000100000111001101111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010101010101111000000000010000011100110111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001010101010111100000000001000001110011011111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000011010101011110000000000100000111001101111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001101010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000110101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000011010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011101010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001110101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000111010101011110000000000100000111001111111111111111110100100000101100011110000010010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011101010101111000000000010000011100111111111111111111010010000010110001111000001001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000001101010111100000000001000001110011111111111111111101001000001011000111100000100100000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000000110101011110000000000100000111001111111111111111110100100000100000000100000000010000000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000011010101111000000000010000011100111111111111111111010010000010000000010000000001000000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000001101010111100000000001000001110011111111111111111101001000001000000001000000010110001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010011010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001001101010111100000000001000001110011111111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001011010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000101101010111100000000001000001110011111111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000010110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001011010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001101101010111100000000001000001110011111111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000110110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011011010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001101101010111100000000001000001110011111111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000001110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000111010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000011101010111100000000001000001110011111111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000001110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010111010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001011101010111100000000001000001110011011111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000101110101011110000000000100000111001101111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010111010101111000000000010000011100110111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000111101010111100000000001000001110011011111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000011110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001111010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000111101010111100000000001000001110011111111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000111110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011111010101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001111101010111100000000001000001110011111111111111111101001000001000000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000111110101011110000000000100000111001111111111111111110100100000100000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000000110101111000000000010000011100111111111111111111010010000010000000010000000111010000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000000011010111100000000001000001110011111111111111111101001000001100000001000000011101000001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000000001101011110000000000100000111001111111111111111110100100000110000000100000001110100000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000000110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001000011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010000110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001000011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000010001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001000110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000100011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000010001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011000110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001100011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000110001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011000110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000010011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000001001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000100110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000010011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000101001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010100110101111000000000010000011100110111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001010011010111100000000001000001110011011111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000101001101011110000000000100000111001101111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001100110101111000000000010000011100110111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000110011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000011001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001100110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001110011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000111001101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011100110101111000000000010000011100111111111111111111010010000011000000010000000010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001110011010111100000000001000001110011111111111111111101001000001100000001000000001000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000000101101011110000000000100000111001111111111111111110100100000110000000100000000100000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000010110101111000000000010000011100111111111111111111010010000010000001001100001010000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000001011010111100000000001000001110011111111111111111101001000001000000100110000101000001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000000101101011110000000000100000111001111111111111111110100100000100000010011000010001111100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010010110101111000000000010000011100111111111111111111010010000010000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001001011010111100000000001000001110011111111111111111101001000001000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100101101011110000000000100000111001111111111111111110100100000100000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010010110101111000000000010000011100111111111111111111010010000010000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000101011010111100000000001000001110011111111111111111101001000001000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000010101101011110000000000100000111001111111111111111110100100000100000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001010110101111000000000010000011100111111111111111111010010000010000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000101011010111100000000001000001110011111111111111111101001000001000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000110101101011110000000000100000111001111111111111111110100100000100000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011010110101111000000000010000011100111111111111111111010100011000000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001101011010111100000000001000001110011111111111111111101010001100000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000110101101011110000000000100000111001111111111111111110101000110000000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000110110101111000000000010000011100111111111111111111010100011000000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000011011010111100000000001000001110011111111111111111101010001100000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000001101101011110000000000100000111001111111111111111110101000110000000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000110110101111000000000010000011100111111111111111111010100011000000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001011011010111100000000001000001110011111111111111111101010001100000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000101101101011110000000000100000111001101111111111111110101000110000000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010110110101111000000000010000011100110111111111111111010100011000000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001011011010111100000000001000001110011011111111111111101010001100000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000011101101011110000000000100000111001101111111111111110101000110000000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001110110101111000000000010000011100111111111111111111010100011000000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000111011010111100000000001000001110011111111111111111101010001100000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000011101101011110000000000100000111001111111111111111110101000110000000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011110110101111000000000010000011100111111111111111111010100011000000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001111011010111100000000001000001110011111111111111111101010001100000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000111101101011110000000000100000111001111111111111111110101000110000000010011000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011110110101111000000000010000011100111111111111111111010100011000000001001100001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000000111010111100000000001000001110011111111111111111101010001100000000100110000110001100001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000000011101011110000000000100000111001111111111111111110101000110011100011110000011000110000100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000001110101111000000000010000011100111111111111111111010100011001110001111000001100011000010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000000111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010001110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001000111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001001110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000100111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000010011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100100011010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001001110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010001101001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001100111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001000110100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000110011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100101000010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011001110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010100001001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001100111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001010000100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000001011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100101000010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000101110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010100001001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000010111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001010000100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000001011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100101000010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010101110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010100001001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001010111010111100000000001000001110011011111111111111101010001100111000111100000110100001001001001010000100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000101011101011110000000000100000111001101111111111111110101000110011100011110000011010000100100100101000010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010101110101111000000000010000011100110111111111111111010100011001110001111000001101000010010010010100001001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000110111010111100000000001000001110011011111111111111101010001100111000111100000110100001001001001010000100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000011011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100101000010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001101110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010100001001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000000110111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001010000100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000111011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100101000010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000011101110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010100001001001001010000000001000000000010000000000000000000000000000111001001110100001000011110000000000001110111010111100000000001000001110011111111111111111101010001100111000111100000110100001001001001010000100100100101000000000100000000001000000000000000000000000000011100100111010000100001111000000000000111011101011110000000000100000111001111111111111111110101000110011100011110000011010000100100100101000010010010010100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000011110101111000000000010000011100111111111111111111010100011001110001111000001101000010010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000000001111010111100000000001000001110011111111111111111101010001100011000100010000110100001001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000000000111101011110000000000100000111001111111111111111110101000110001100010001000011010000100100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000000011110101111000000000010000011100111111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000001001111010111100000000001000001110011111111111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000000100111101011110000000000100000111001111111111111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000010011110101111000000000010000011100111111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000001001111010111100000000001000001110011111111111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000000010111101011110000000000100000111001111111111111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000001011110101111000000000010000011100111111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010101111010111100000000001000001110011111111111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001010111101011110000000000100000111001111111111111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111011110101111000000000010000011100111111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011101111010111100000000001000001110011111111111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001110111101011110000000000100000111001111111111111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111011110101111000000000010000011100111111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010011111010111100000000001000001110011111111111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001001111101011110000000000100000111001111111111111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000100111110101111000000000010000011100111111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010011111010111100000000001000001110011111111111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001101111101011110000000000100000111001111111111111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000110111110101111000000000010000011100110111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011011111010111100000000001000001110011011111111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001101111101011110000000000100000111001101111111111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000101111110101111000000000010000011100110111111111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010111111010111100000000101000000001011000100111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001011111101011110000000010100000000101100010011111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000101111110101111000000001010000000010110001001111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011111111010111100000000101000000001011000100111111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001111111101011110000000010100000000101100010011111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111111110101111000000001010000000001100011000111111111010100011000110001000100001011000000010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011111111010111100000000101000000000110001100011111111101010001100011000100010000101100000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001000000011011110000000010100000000011000110001111111110101000110001100010001000010110000000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000100000001101111000000001010000000001100011000111111111010100011000000000110000000011000001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010000000110111100000000101000000000110001100011111111111010001100000000011000000000000000100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001000000011011110000000010100000000011000110001111111111101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000110000001101111000000001010000000001100011000111111111110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011000000110111100000000101000000000110001100011111111111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001100000011011110000000010100000000010000011111111111111101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000110000001101111000000001010000000001000001111111111111110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010100000110111100000000101000000000100000111101010101111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001010000011011110000000010100000000010000011110101010111101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000101000001101111000000001010000000001000001111010101011110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010100000110111100000000101000000000100000111101010101111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001110000011011110000000010100000000010000011111111111111101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111000001101111000000001010000000001000001111111111111110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011100000110111100000000101000000001011000100111111111111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001110000011011110000000010100000000101100010011111111111101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000100100001101111000000001010000000010110001001001001001100100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010010000110111100000000101000000001011000100100000000110010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001001000011011110000000010100000000101100010010000000011001000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000100100001101111000000001010000000010110001001000000001100100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011010000110111100000000101000000001011000100111111111111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001101000011011110000000010100000000101100010011111111111101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000110100001101111000000001010000000010111001001111111111110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011010000110111100000000101000000001011100100111111111111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001011000011011110000000010100000000101110010010000000011101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000101100001101111000000001010000000010111001001000000001110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010110000110111100000000101000000001011100100100000000111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001011000011011110000000010100000000101110010010000000011101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111100001101111000000001010000000010111001001111111111110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011110000110111100000000101000000001011100100111111111111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001111000011011110000000010100000100011000110001111111111101000110000000001100000001000110010001100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111100001101111000000001010000010001100011000111111111110100011000000000110000000100011001000110010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010001000110111100000000101000001000110001100011111111111010001100000000011000000010001100100011001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001000100011011110000000010100000100011000110000000000011101000110011100010001000011000110000100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000100010001101111000000001010000010001100011000000000001010100011001110001000100001111111110010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010001000110111100000000101000001000110001100000000000101010001100111000100010000100000000001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001100100011011110000000010100000100011000110001111111110101000110011100010001000010001001100100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000110010001101111000000001010000010001100011000111111111010100011001110001000100001000100110010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011001000110111100000000101000000000100000111111111111101010001100111000100010000100010011001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001100100011011110000000010100000000010000011111111111110101000110011100010001000010001001100100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000101010001101111000000001010000000001000001111010101011010100011001110001000100001000100110010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010101000110111100000000101000000000100000111101010101101010001100111000100010000100010011001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001010100011011110000000010100000000010000011110101010110101000110011100010001000010001001100100100101000010010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000101010001101111000000001010000000001000001111010101011010100011001110001000100001000100110010010010100001001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011101000110111100000000101000000000100000111111111111101010001100111000100010000100010011001001001010000100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001110100011011110000000010100000000010000011111111111110101000110011100010001000010001001100100100000100110010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111010001101111000000001010000000010110000000111111111010100011001110001000100001000100110010010000010011001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011101000110111100000000101000000001011000000011111111101010001100111000100010000100010011001001000001001100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001001100011011110000000010100000000101100000000010010010101000110011100010001000010001001100100100000100110010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000100110001101111000000001010000000010110000000000111001010100011001110001000100001000100110010010000010011001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010011000110111100000000101000000001011000000000011100101010001100111000100010000100010011001001000001001100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001001100011011110000000010100000000101100000000001110010101000110011100010001000010001001100100100000100110010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000110110001101111000000001010000000010110000000111111111010100011001110001000100001000100110010010000010011001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011011000110111100000000101000000001011000000011111111101010001100111000100010000100010011001001000001001100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001101100011011110000000010100000000101110000001111111110101000110011100010001000010001001100100100000100110010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000110110001101111000000001010000000010111000000111111111010100011001110001000100001000100110010010000010011001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010111000110111100000000101000000001011100000000000000101010001100111000100010000100010011001001000001001100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001011100011011110000000010100000000101110000000000000010101000110011100010001000010001001100100100000100110010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000101110001101111000000001010000000010111000000000000001010100011001110001000100001000100110010010000010011001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000010111000110111100000000101000000001011100000000000000101010001100111000100010000100010011001001000001001100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001111100011011110000000010100000000101110000001111111110101000110011100010001000010001001100100100000100110010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000111110001101111000000001010000000010111000000111111111010100011001110001000100001000100110010010000010011001001001110000000001000000000010000000000000000000000000000111001001110100001000011110000000000011111000110111100000000101000000000101001100011111111101010001100111000100010000100010011001001000001001100100100111000000000100000000001000000000000000000000000000011100100111010000100001111000000000001111100011011110000000010100000000010100110001111111110101000110011100010001000010001001100100100000100110010010011100000000010000000000100000000000000000000000000001110010011101000010000111100000000000100000000001111000000001010000000001010011000001111111010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110000000000111100000000101010100000101001100000000000101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000000000011110000000010101010000010100110000000000010101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100000000001111000000001010101000001010011000000000001010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111000000000111100000000101010100000101001100011111111101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100000000011110000000010101010000010100110001111111110101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110000000001111000000001010101000001100011000111111111010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111000000000111100000000101010100000110001100011111111101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010000000011110000000010101010000011000110000010010010101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101000000001111000000001010101000001100011000001001001010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110100000000111100000000101010100000110001100000100100101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010000000011110000000010101010000011000110000010010010101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111000000001111000000001010101000001100011000111111111010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111100000000111100000000101010100000110001100011111111101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011110000000011110000000010101010000010000011111111111110101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111000000001111000000001010101000001000001111111111111010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110010000000111100000000101010100000100000111101010101101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011001000000011110000000010101010000010000011110101010110101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100100000001111000000001010101000001000001111010101011010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110010000000111100000000101010100000100000111101010101101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011101000000011110000000010101010000010000011111111111110101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110100000001111000000001010101000001000001111111111111010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111010000000111100000000101010100001011000100111111111101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011101000000011110000000010101010000101100010011111111110101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101100000001111000000001010101000010110001001001001001010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110110000000111100000000101010100001011000100100000000101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011011000000011110000000010101010000101100010010000000010101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101100000001111000000001010101000010110001001000000001010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111110000000111100000000101010100001011000100111111111101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111000000011110000000010101010000101100010011111111110101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111100000001111000000001010101000010111001001111111111010100011001110001000100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111110000000111100000000101010100001011100100111111111101010001100111000100010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000100000011110000000010101010000101110010010000000010101000110011100010001000010001001100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100010000001111000000001010101000010111001001000000001010100011000110001100100001000100110010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110001000000111100000000101010100001011100100100000000101010001100011000110010000100010011001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000100000011110000000010101010000101110010010000000010101000110001100011001000011000110100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110010000001111000000001010101000010111001001111111111010100011000110001100100001100011010010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111001000000111100000000101010100001011100100111111111101010001100011000110010000110001101001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100100000011110000000010101010100011000110001111111110101000110001100011001000011000110100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110010000001111000000001010101010001100011000111111111010100011000110001100100001100011010010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110101000000111100000000101010101000110001100011111111101010001100011000110010000110001101001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010100000011110000000010101010100011000110000000000010101000110001100011001000011000110100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101010000001111000000001010101010001100011000000000001010100011000110001100100001100011010010010000010011001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110101000000111100000000101010101000110001100000000000101010001100011000110010000110001101001001000001001100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011110100000011110000000010101010100011000110001111111110101000110001100011001000011000110100100100000100110010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111010000001111000000001010101010001100011000111111111010100011000110001100100001100011010010010010001101001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111101000000111100000000101010100000100000111111111111101010001100011000110010000110001101001001001000110100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111111111110100000011110000000010101111000010000011111111111110101000110001100011001000011000110100100100100011010010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011111111100110000001111000000001010111100001000001111010101011010100011000110001100100001100011010010010010001101001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111111110011000000111100000000101011110000100000111101010101101010001100011000110010000110001101001001001000110100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111111111001100000011110000000010101111000010000011110101010110101000110001100011001000011000110100100100100011010010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011111111100110000001111000000001010111100001000001111010101011010100011000110001100100001100011010010010010001101001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111111111011000000111100000000101011110000100000111111111111101010001100011000110010000110001101001001001000110100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111111111101100000011110000000010101111000010000011111111111110101000110001100011001000011000110100100100100011010010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011111111110110000001111000000001010111100010110000000111111111010100011000110001100100001100011010010010010001101001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111111111011000000111100000000101011110001011000000011111111101010001100011000110010000110001101001001001000110100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111111111011100000011110000000010101111000101100000000010010010101000110001100011001000011000110100100100100011010010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011111111101110000001111000000001010111100010110000000000111001010100011000110001100100001100011010010010010001101001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111111110111000000111100000000101011110001011000000000011100101010001100011000110010000110001101001001001000110100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011011100000011110000000010101010000101100000000001110010101000110001100011001000011000110100100100100011010010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111110000001111000000001010101000010110000000111111111010100011000110001100100001100011010010010010001101001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000111111000000111100000000101010100001011000000011111111101010001100011000110010000110001101001001001000110100100100101000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111100000011110000000010101010000101110000001111111110101000110001100011001000011000110100100100100011010010010010100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111110000001111000000001010101000010111000000111111111010100011000110001100100001100011010010010010001101001001001010000000000000000000000000000000100000000000000000111001001110100001000011110001111000110000100000111100000000101010100001011100000000000000101010001100011000110010000110001101001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000010000011110000000010101010000101110000000000000010101000110011100011001000011000110100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100001000001111000000001010101000010111000000000000001010100011001110001100100001100011010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110000100000111100000000101010100001011100000000000000101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100010000011110000000010101010000101110000001111111110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110001000001111000000001010101000010111000000111111111010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111000100000111100000000101010100000101001100011111111101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100010000011110000000010101010000010100110001111111110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101001000001111000000001010101000001010011000001111111010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110100100000111100000000101010100000101001100000000000101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010010000011110000000010101010000010100110000000000010101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101001000001111000000001010101000001010011000000000001010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111100100000111100000000101010100000101001100011111111101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011110010000011110000000010101010000010100110001111111110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111001000001111000000001010101010001000001111111111111010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111100100000111100000000101010101000100000111111111111101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011001010000011110000000010101010100010000011110101010110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100101000001111000000001010101010001000001111010101011010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110010100000111100000000101010101000100000111101010101101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011001010000011110000000010101010100010000011110101010110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110101000001111000000001010101010001000001111111111111010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111010100000111100000000101010101000100000111111111111101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011101010000011110000000010101010000101100000001111111110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110101000001111000000001010101000010110000000111111111010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110110100000111100000000101010100001011000000000100100101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011011010000011110000000010101010000101100000000001110010101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101101000001111000000001010101000010110000000000111001010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110110100000111100000000101010100001011000000000011100101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111010000011110000000010101010000101100000001111111110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111101000001111000000001010101000010110000000111111111010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111110100000111100000000101010100001011100000011111111101010001100111000110010000100100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111010000011110000000010101010000101110000001111111110101000110011100011001000010010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100011000001111000000001010101000010111000000000000001010100011001110001100100001001000000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110001100000111100000000101010100001011100000000000000101010001100000000001000000000100000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000110000011110000000010101010000101110000000000000010101000110000000000100000000010000000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100011000001111000000001010101000010111000000000000001010100011000000000010000000101100010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111001100000111100000000101010100001011100000011111111101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100110000011110000000010101010000101110000001111111110101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110011000001111000000001010101010001010011000111111111010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111001100000111100000000101010101000101001100011111111101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010110000011110000000010101010100010100110000000111010101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101011000001111000000001010101010001010011000000000001010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110101100000111100000000101010101000101001100000000000101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010110000011110000000010101010100010100110000000000010101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111011000001111000000001010101010001010011000111111111010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111101100000111100000000101010101000101001100011111111101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011110110000011110000000010101010100010000011111111111110101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111111011000001111000000001010111110001000001111111111111010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110011100000111100000000101011111000100000111101010101101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111001110000011110000000010101111100010000011110101010110101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111100111000001111000000001010111110001000001111010101011010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110011100000111100000000101011111000100000111101010101101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111101110000011110000000010101111100010000011111111111110101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111110111000001111000000001010111110001000001111111111111010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111111011100000111100000000101011110001011000000011111111101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111101110000011110000000010101111000101100000001111111110101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111101111000001111000000001010111100010110000000001001001010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110111100000111100000000101011110001011000000000011100101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111011110000011110000000010101111000101100000000001110010101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101111000001111000000001010101000010110000000000111001010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111111100000111100000000101010100001011000000011111111101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111110000011110000000010101010000101100000001111111110101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111111000001111000000001010101000010111000000111111111010100011000000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111111100000111100000000101010100001011100000011111111101010001100000000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000001000011110000000010101010000101110000000000000010101000110000000000100000001110100000100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100000100001111000000001010101000010111000000000000001010100011001000000010000000111010000010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110000010000111100000000101010100001011100000000000000101010001100100000001000000011101000001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000001000011110000000010101010000101110000000000000010101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110000100001111000000001010101000010111000000111111111010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111000010000111100000000101010100001011100000011111111101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100001000011110000000010101010000011100110001111111110101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110000100001111000000001010101000001110011000111111111010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110100010000111100000000101010100000111001100000001110101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010001000011110000000010101010000011100110000000000010101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101000100001111000000001010101000001110011000000000001010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110100010000111100000000101010100000111001100000000000101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011110001000011110000000010101010000011100110001111111110101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111000100001111000000001010101000001110011000111111111010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111100010000111100000000101010101000100000111111111111101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111110001000011110000000010101111100010000011111111111110101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111100100100001111000000001010111110001000001111010101011010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110010010000111100000000101011111000100000111101010101101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111001001000011110000000010101111100010000011110101010110101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111100100100001111000000001010111110001000001111010101011010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111111010010000111100000000101011111000100000111111111111101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111101001000011110000000010101111100010000011111111111110101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111110100100001111000000001010111100010110000000111111111010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111111010010000111100000000101011110001011000000011111111101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111011001000011110000000010101111000101100000000010010010101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011111111101100100001111000000001010111100010110000000000111001010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110110010000111100000000101011110001011000000000011100101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011011001000011110000000010101010000101100000000001110010101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111100100001111000000001010101000010110000000111111111010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111110010000111100000000101010100001011000000011111111101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111001000011110000000010101010000101110000001111111110101000110010000000100000000100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111100100001111000000001010101000010111000000111111111010100011001000000010000000010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110001010000111100000000101010100001011100000000000000101010001100100000001000000001000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000101000011110000000010101010000101110000000000000010101000110010000010011000010100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100010100001111000000001010101000010111000000000000001010100011001000001001100001010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110001010000111100000000101010100001011100000000000000101010001100100000100110000101011100001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100101000011110000000010101010000101110000001111111110101000110010000010011000010100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110010100001111000000001010101000010111000000111111111010100011001000001001100001010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111001010000111100000000101010101000111001100011111111101010001100100000100110000101000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100101000011110000000010101010100011100110001111111110101000110010000010011000010100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101010100001111000000001010101010001110011000000111001010100011001000001001100001010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110101010000111100000000101010101000111001100000000000101010001100100000100110000101000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010101000011110000000010101010100011100110000000000010101000110010000010011000010100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101010100001111000000001010101010001110011000000000001010100011001000001001100001010000010010010010001101001001001110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111101010000111100000000101010101000111001100011111111101010001100100000100110000101000001001001001000110100100100111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011110101000011110000000010101010100011100110001111111110100100000110000010011000010100000100100100100011010010010011100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111010100001111000000001010101010001000001111111111111010010000011000001001100001010000010010010010001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111111101010000111100000000101011111000100000111111111111101001000001100000100110000101000001001001001000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111001101000011110000000010101111100010000011110101010110100100000110000010011000010100000100100100100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111100110100001111000000001010111110001000001111010101011010010000011000001001100001010000010010010010001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110011010000111100000000101011111000100000111101010101101001000001100000100110000101000001001001001000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111001101000011110000000010101111100010000011110101010110100100000110000010011000010100000100100100100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111110110100001111000000001010111110001000001111111111111010010000011000001001100001010000010010010010001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111111011010000111100000000101011111000100000111111111111101001000001100000100110000101000001001001001000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111101101000011110000000010101111000101100000001111111110100100000110000010011000010100000100100100100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111110110100001111000000001010111100010110000000111111111010010000011000001001100001010000010010010010001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110111010000111100000000101011110001011000000000100100101001000001100000100110000101000001001001001000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111011101000011110000000010101111000101100000000001110010100100000110000010011000010100000100100100100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111101110100001111000000001010111100010110000000000111001010010000011000001001100001010000010010010010001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110111010000111100000000101010100001011000000000011100101001000001100000100110000101000001001001001000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111101000011110000000010101010000101100000001111111110100100000110000010011000010100000100100100100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111110100001111000000001010101000010110000000111111111010010000011000001001100001010000010010010010001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111111010000111100000000101010100001011100000011111111101001000001100000100110000101000001001001001000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111101000011110000000010101010000101110000001111111110100100000110000010011000010100000100100100100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100001100001111000000001010101000010111000000000000001010010000011000001001100001010000010010010010001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110000110000111100000000101010100001011100000000000000101001000001011000101010000101000001001001011000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000011000011110000000010101010000101110000000000000010100100000101100010101000010100000100100101100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001100001100001111000000001010101000010111000000000000001010010000010110001010100001101000010010010110001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111000110000111100000000101010100001011100000011111111101001000001011000101010000110100001001001011000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011100011000011110000000010101010000101110000001111111110100100000101100010101000011010000100100101100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001110001100001111000000001010101000001001011000111111111010010000010110001010100001101000010010010110001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111000110000111100000000101010100000100101100011111111101001000001011000101010000110100001001001011000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010011000011110000000010101010000010010110000001000010100100000101100010101000011010000100100101100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101001100001111000000001010101000001001011000000000001010010000010110001010100001101000010010010110001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000110100110000111100000000101010100000100101100000000000101001000001011000101010000110100001001001011000110100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011010011000011110000000010101010000010010110000000000010100100000101100010101000011010000100100101100011010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111001100001111000000001010101000001001011000111111111010010000010110001010100001101000010010010110001101001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111100110000111100000000101010100000100101100011111111101001000001011000101010000110100001001001011010000100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011110011000011110000000010101010000011000011111111111110100100000101100010101000011010000100100101101000010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111111001100001111000000001010111100001100001111111111111010010000010110001010100001101000010010010110100001001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110010110000111100000000101011110000110000111101010111101001000001011000101010000110100001001001011010000100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111001011000011110000000010101111000011000011110101011110100100000101100010101000011010000100100101101000010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111100101100001111000000001010111100001100001111010101111010010000010110001010100001101000010010010110100001001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110010110000111100000000101011110000110000111101010111101001000001011000101010000110100001001001011010000100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111101011000011110000000010101111000011000011111111111110100100000101100010101000011010000100100101101000010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111110101100001111000000001010111100001100001111111111111010010000010110001010100001101000010010010110100001001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111111010110000111100000000101011110001011000000011111111101001000001011000101010000110100001001001011010000100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111101011000011110000000010101111000101100000001111111110100100000101100010101000011010000100100101101000010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011111111101101100001111000000001010111100010110000000001001001010010000010110001010100001101000010010010110100001001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111111110110110000111100000000101011110001011000000000011100101001000001011000101010000110100001001001011010000100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111111111011011000011110000000010101111000101100000000001110010100100000101100010101000011010000100100101101000010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001101101100001111000000001010101000010110000000000111001010010000010110001010100001101000010010010110100001001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111110110000111100000000101010100001011000000011111111101001000001011000101010000110100001001001011010000100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011111011000011110000000010101010000101100000001111111110100100000101100010101000011010000100100101101000010010010111100000000000000000000000000000001000000000000000001110010011101000010000111100011110001111101100001111000000001010101000010111000000111111111010010000010110001010100001101000010010010110100001001001011110000000000000000000000000000000100000000000000000111001001110100001000011110001111000111110110000111100000000101010100001011100000011111111101001000001011000101010000110100001001001011010000100100101111000000000000000000000000000000010000000000000000011100100111010000100001111000111100011000111000011110000000010101010000101110000000000000010100100000101100010101000011010000100100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100011100001111000000001010101000010111000000000000001010010000011110001010100001101000010010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110001110000111100000000101010100001011100000000000000101001000001111000101010000110100001001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000111000011110000000010101010000101110000000000000010100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110011100001111000000001010101000010111000000111111111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111001110000111100000000101010100001011100000011111111101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100111000011110000000010101010100010010110001111111110100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110011100001111000000001010101010001001011000111111111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110101110000111100000000101010101000100101100000100100101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010111000011110000000010101010100010010110000010010010100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101011100001111000000001010101010001001011000001001001010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110101110000111100000000101010101000100101100000100100101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110111000011110000000010101010100010010110001111111110100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111011100001111000000001010101010001001011000111111111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111101110000111100000000101010100000110000111111111111101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111110111000011110000000010101111000011000011111111111110100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111100111100001111000000001010111100001100001111010101111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111110011110000111100000000101011110000110000111101010111101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001111000011110000000010101111000011000011110101011110100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111100111100001111000000001010111100001100001111010101111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111111011110000111100000000101011110000110000111111111111101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111101111000011110000000010101111000011000011111111111110100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111110111100001111000000001010111100010110001001111111111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111111011110000111100000000101011110001011000100111111111101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111011111000011110000000010101111000101100010010010010010100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111101111100001111000000001010111100010110001001000000001010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111110111110000111100000000101011110001011000100100000000101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011011111000011110000000010101010000101100010010000000010100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111111100001111000000001010101000010110001001111111111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111111110000111100000000101010100001011000100111111111101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111111000011110000000010101010000101110010011111111110100100000111100010101000011110000000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111111100001111000000001010101000010111001001111111111010010000011110001010100001111000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110000001000111100000000101010100001011100100100000000101001000001111000101010000111100000001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000000100011110000000010101010000101110010010000000010100100000110000001100000001110000001000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100000010001111000000001010101000010111001001000000001110010000011000000110000000010000000100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110000001000111100000000101010100001011100100100000000111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100000100011110000000010101010000101110010011111111111100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110000010001111000000001010101000010111001001111111111110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111000001000111100000000101010100000110101100011111111111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100000100011110000000010101010000011010110001111111111100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101000010001111000000001010101000001101011000110001111110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110100001000111100000000101010100000110101100000100100111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010000100011110000000010101010000011010110000010010011100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101000010001111000000001010101000001101011000001001001110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111100001000111100000000101010100000110101100011111111111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110000100011110000000010101010000011010110001111111111100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111000010001111000000001010101000001100001111111111111110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111111100001000111100000000101011110000110000111111111111111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001000100011110000000010101111000011000011110101011111000100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111100100010001111000000001010111100001100001111010101111100010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111110010001000111100000000101011110000110000111101010111110001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001000100011110000000010101111000011000011110101011111000100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111110100010001111000000001010111100001100001111111111111110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111111010001000111100000000101011110000110000111111111111111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111101000100011110000000010101111000101100010011111111111100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111110100010001111000000001010111100010110001001111111111110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111111110110001000111100000000101011110001011000100100100100111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111111111011000100011110000000010101111000101100010010000000011100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011111111101100010001111000000001010111100010110001001000000001110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110110001000111100000000101010100001011000100100000000111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111000100011110000000010101010000101100010011111111111100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111100010001111000000001010101000010110001001111111111110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111110001000111100000000101010100001011100100111111111111001000001100000011000000001000001010000011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111000100011110000000010101010000101110010011111111111100100000110000001100000000100000101000001101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100010010001111000000001010101000010111001001000000001110010000011000000110000000010000010100000110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110001001000111100000000101010100001011100100100000000111001000001011000111010000101000001001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000100100011110000000010101010000101110010010000000010100100000101100011101000011111111100100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100010010001111000000001010101000010111001001000000001010010000010110001110100001000000000010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111001001000111100000000101010100001011100100111111111101001000001011000111010000100110110001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100100100011110000000010101010000101110010011111111110100100000101100011101000010011011000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110010010001111000000001010101010001101011000111111111010010000010110001110100001001101100010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111001001000111100000000101010101000110101100011111111101001000001011000111010000100110110001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010100100011110000000010101010100011010110000000111110100100000101100011101000010011011000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101010010001111000000001010101010001101011000011101001010010000010110001110100001001101100010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110101001000111100000000101010101000110101100001110100101001000001011000111010000100110110001001011010000100100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010100100011110000000010101010100011010110000111010010100100000101100011101000010011011000100101101000010010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111010010001111000000001010101010001101011000111111111010010000010110001110100001001101100010010110100001001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111101001000111100000000101010101000110101100011111111101001000001011000111010000100110110001001010011011000100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110100100011110000000010101010000011000011111111111110100100000101100011101000010011011000100101001101100010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111010010001111000000001010101000001100001111111111111010010000010110001110100001001101100010010100110110001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110011001000111100000000101010100000110000111101010111101001000001011000111010000100110110001001010011011000100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011001100100011110000000010101010000011000011110101011110100100000101100011101000010011011000100101001101100010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100110010001111000000001010101000001100001111010101111010010000010110001110100001001101100010010100110110001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110011001000111100000000101010100000110000111101010111101001000001011000111010000100110110001001010011011000100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011101100100011110000000010101010000011000011111111111110100100000101100011101000010011011000100101001101100010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110110010001111000000001010101000001100001111111111111010010000010110001110100001001101100010010100110110001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111011001000111100000000101010100001011001110111111111101001000001011000111010000100110110001001010011011000100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011101100100011110000000010101010000101100111011111111110100100000101100011101000010011011000100101001101100010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101110010001111000000001010101000010110011101001001001010010000010110001110100001001101100010010100110110001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000110111001000111100000000101010100001011001110100011100101001000001011000111010000100110110001001010011011000100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011011100100011110000000010101010000101100111010001110010100100000101100011101000010011011000100101001101100010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101110010001111000000001010101000010110011101000111001010010000010110001110100001001101100010010100110110001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111111001000111100000000101010100001011001110111111111101001000001011000111010000100110110001001010011011000100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111100100011110000000010101010000101100111011111111110100100000101100011101000010011011000100101001101100010010110100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111110010001111000000001010101000010111011101111111111010010000010110001110100001001101100010010100110110001001011010000000001000000000000000000000100000000000000000111001001110100001000011110001111000111111001000111100000000101010100001011101110111111111101001000001011000111010000100110110001001010011011000100101101000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000010100011110000000010101010000101110111010001000010100100000101100011101000010011011000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100001010001111000000001010101000010111011101000100001010010000011110001110100001001101100010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110000101000111100000000101010100001011101110100010000101001000001111000111010000100110110001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000010100011110000000010101010000101110111010001000010100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110001010001111000000001010101000010111011101111111111010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111000101000111100000000101010100001011101110111111111101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100010100011110000000010101010000010110110001111111110100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110001010001111000000001010101000001011011000111111111010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110100101000111100000000101010100000101101100000011111101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010010100011110000000010101010000010110110001001010010100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101001010001111000000001010101000001011011000100101001010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110100101000111100000000101010100000101101100010010100101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110010100011110000000010101010000010110110001111111110100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111001010001111000000001010101000001011011000111111111010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111100101000111100000000101010101000110000111111111111101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110010100011110000000010101010100011000011111111111110100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100101010001111000000001010101010001100001111010101011010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110010101000111100000000101010101000110000111101010101101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011001010100011110000000010101010100011000011110101010110100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100101010001111000000001010101010001100001111010101011010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111010101000111100000000101010101000110000111111111111101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011101010100011110000000010101010100011000011111111111110100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110101010001111000000001010101000010110100101111111111010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111010101000111100000000101010100001011010010111111111101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011011010100011110000000010101010000101101001010010010010100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101101010001111000000001010101000010110100101001000101010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110110101000111100000000101010100001011010010100100010101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011011010100011110000000010101010000101101001010010001010100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111101010001111000000001010101000010110100101111111111010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111110101000111100000000101010100001011010010111111111101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111010100011110000000010101010000101111001011111111110100100000111100011101000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111101010001111000000001010101000010111100101111111111010010000011110001110100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110001101000111100000000101010100001011110010100000000101001000001111000111010000101100000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000110100011110000000010101010000101111001010000000010100100000101100010011000010110000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100011010001111000000001010101000010111100101000000001010010000010110001001100001011000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110001101000111100000000101010100001011110010100000000101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100110100011110000000010101010000101111001011111111110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110011010001111000000001010101000010111100101111111111010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111001101000111100000000101010101000101101100011111111101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100110100011110000000010101010100010110110001111111110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101011010001111000000001010101010001011011000000011101010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110101101000111100000000101010101000101101100000000000101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010110100011110000000010101010100010110110000000000010100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101011010001111000000001010101010001011011000000000001010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111101101000111100000000101010101000101101100011111111101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110110100011110000000010101010100010110110001111111110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111011010001111000000001010101010001100001111111111111010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111101101000111100000000101011111000110000111111111111101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001110100011110000000010101111100011000011110101010110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111100111010001111000000001010111110001100001111010101011010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111110011101000111100000000101011111000110000111101010101101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001110100011110000000010101111100011000011110101010110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111110111010001111000000001010111110001100001111111111111010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111011101000111100000000101011111000110000111111111111101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111101110100011110000000010101111000101100000001111111110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100000000000110111010001111000000001010000000010110000000111111111010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110000000000010111101000111100000000101000000001011000000000100100101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000000000001011110100011110000000010100000000101100000000001110010100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100000000000101111010001111000000001010000000010110000000000111001010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110111101000111100000000101010100001011000000000011100101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111110100011110000000010101010000101100000001111111110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111111010001111000000001010101000010110000000111111111010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111111101000111100000000101010100001011100000011111111101001000001011000100110000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111110100011110000000010101010000101110000001111111110100100000101100010011000010000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100000110001111000000001010101000010111000000000000001010010000010110001001100001000000000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110000011000111100000000101010100001011100000000000000101001000001000000011000000000000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000001100011110000000010101010000101110000000000000010100100000100000001100000000000000000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100000110001111000000001010101000010111000000000000001010010000010000000110000000000001000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111000011000111100000000101010100001011100000011111111101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100001100011110000000010101010000101110000001111111110100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110000110001111000000001010101000001111011000111111111010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111000011000111100000000101010100000111101100011111111101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010001100011110000000010101010000011110110000000111010100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101000110001111000000001010101000001111011000000000001010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110100011000111100000000101010100000111101100000000000101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111010001100011110000000010101111000011110110000000000010100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111111000110001111000000001010111100001111011000111111111010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111100011000111100000000101011110000111101100011111111101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111110001100011110000000010101111100011000011111111111110100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111000110001111000000001010101010001100001111111111111010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110010011000111100000000101010101000110000111101010101101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011001001100011110000000010101010100011000011110101010110100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100100110001111000000001010101010001100001111010101011010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110010011000111100000000101010101000110000111101010101101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011101001100011110000000010101010100011000011111111111110100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110100110001111000000001010101010001100001111111111111010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111010011000111100000000101010100001011000000011111111101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011101001100011110000000010101010000101100000001111111110100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101100110001111000000001010101000010110000000001001001010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110110011000111100000000101010100001011000000000011100101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011011001100011110000000010101010000101100000000001110010100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111101100110001111000000001010111100010110000000000111001010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111110011000111100000000101011110001011000000011111111101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111111001100011110000000010101111000101100000001111111110100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111111100110001111000000001010111100010111000000111111111010010000010000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111110011000111100000000101010100001011100000011111111101001000001000000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000101100011110000000010101010000101110000000000000010100100000100000001100000001000110000100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100010110001111000000001010101000010111000000000000001010010000011000000110000000100011000010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110001011000111100000000101010100001011100000000000000101001000001100000011000000010001100001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000101100011110000000010101010000101110000000000000010100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110010110001111000000001010101000010111000000111111111010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111001011000111100000000101010100001011100000011111111101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100101100011110000000010101010100011110110001111111110100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110010110001111000000001010101010001111011000111111111010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110101011000111100000000101010101000111101100000011100101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010101100011110000000010101010100011110110000010010010100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101010110001111000000001010101010001111011000001001001010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110101011000111100000000101010101000111101100000100100101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110101100011110000000010101010100011110110001111111110100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111010110001111000000001010101010001111011000111111111010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111101011000111100000000101010101000110000111111111111101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111110101100011110000000010101111100011000011111111111110100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111100110110001111000000001010111110001100001111010101011010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111110011011000111100000000101011111000110000111101010101101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001101100011110000000010101111100011000011110101010110100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111100110110001111000000001010111110001100001111010101011010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111011011000111100000000101011111000110000111111111111101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111101101100011110000000010101111100011000011111111111110100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111110110110001111000000001010111100010110001001111111111010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111011011000111100000000101011110001011000100111111111101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111011101100011110000000010101111000101100010010010010010100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111101110110001111000000001010111100010110001001000000001010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111110111011000111100000000101011110001011000100100000000101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011011101100011110000000010101010000101100010010000000010100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111110110001111000000001010101000010110001001111111111010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111111011000111100000000101010100001011000100111111111101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111101100011110000000010101010000101110010011111111110100100000110000001100000000100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111110110001111000000001010101000010111001001111111111010010000011000000110000000010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110000111000111100000000101010100001011100100100000000101001000001100000011000000001000001001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011000011100011110000000010101010000101110010010000000010100100000110000010001100010100000100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100001110001111000000001010101000010111001001000000001010010000011000001000110001010000010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110000111000111100000000101010100001011100100100000000101001000001100000100011000100000000001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100011100011110000000010101010000101110010011111111110100100000110000010001100011011010100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001110001110001111000000001010101000010111001001111111111010010000011000001000110001101101010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111000111000111100000000101010100000100011100011111111101001000001100000100011000110110101001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011100011100011110000000010101010000010001110001111111110100100000110000010001100011011010100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101001110001111000000001010101000001000111000111111111010010000011000001000110001101101010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110100111000111100000000101010100000100011100000100100101001000001100000100011000110110101001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011010011100011110000000010101010000010001110000010010010100100000110000010001100011011010100100101001101100010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001101001110001111000000001010101000001000111000001001001010010000011000001000110001101101010010010100110110001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111100111000111100000000101010100000100011100011111111101001000001100000100011000110110101001001010011011000100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011110011100011110000000010101010000010001110001111111110100100000110000010001100011011010100100101101101010010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111001110001111000000001010101000001010001111111111111010010000011000001000110001101101010010010110110101001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111100111000111100000000101011110000101000111111111111101001000001100000100011000110110101001001011011010100100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001011100011110000000010101111000010100011110101010110100100000110000010001100011011010100100101101101010010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111100101110001111000000001010111100001010001111010101011010010000011000001000110001101101010010010110110101001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111110010111000111100000000101011110000101000111101010101101001000001100000100011000110110101001001011011010100100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111001011100011110000000010101111000010100011110101010110100100000110000010001100011011010100100101101101010010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111110101110001111000000001010111100001010001111111111111010010000011000001000110001101101010010010110110101001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111111010111000111100000000101011110000101000111111111111101001000001100000100011000110110101001001011011010100100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111101011100011110000000010101111000101100010011111111110100100000110000010001100011011010100100101101101010010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111110101110001111000000001010111100010110001001111111111010010000011000001000110001101101010010010110110101001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111111110110111000111100000000101011110001011000100100100100101001000001100000100011000110110101001001011011010100100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111111111011011100011110000000010101111000101100010010000000010100100000110000010001100011011010100100101101101010010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011111111101101110001111000000001010111100010110001001000000001010010000011000001000110001101101010010010110110101001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000110110111000111100000000101010100001011000100100000000101001000001100000100011000110110101001001011011010100100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111011100011110000000010101010000101100010011111111110100100000110000010001100011011010100100101101101010010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001111101110001111000000001010101000010110001001111111111010010000011000001000110001101101010010010110110101001001011110000000001000000000000000000000100000000000000000111001001110100001000011110001111000111110111000111100000000101010100001011100100111111111101001000001100000100011000110110101001001011011010100100101111000000000100000000000000000000010000000000000000011100100111010000100001111000111100011111011100011110000000010101010000101110010011111111110100100000110000010001100011011010100100101101101010010010111100000000010000000000000000000001000000000000000001110010011101000010000111100011110001100011110001111000000001010101000010111001001000000001010010000011000001000110001101101010010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110001111000111100000000101010100001011100100100000000101001000001000000110011000110110101001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000111100011110000000010101010000101110010010000000010100100000100000011001100011011010100100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100011110001111000000001010101000010111001001000000001010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111001111000111100000000101010100001011100100111111111101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100111100011110000000010101010000101110010011111111110100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110011110001111000000001010101010001000111000111111111010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111001111000111100000000101010101000100011100011111111101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010111100011110000000010101010100010001110001111111110100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101011110001111000000001010101010001000111000001001001010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110101111000111100000000101010101000100011100000100100101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010111100011110000000010101010100010001110000010010010100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111011110001111000000001010101010001000111000111111111010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111101111000111100000000101010101000100011100011111111101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110111100011110000000010101010000010100011111111111110100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111011110001111000000001010101000001010001111111111111010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110011111000111100000000101010100000101000111101010101101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001111100011110000000010101010000010100011110101010110100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100111110001111000000001010101000001010001111010101011010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110011111000111100000000101010100000101000111101010101101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101111100011110000000010101010000010100011111111111110100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110111110001111000000001010101000001010001111111111111010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111011111000111100000000101010100001011000100111111111101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101111100011110000000010101010000101100010011111111110100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101111110001111000000001010101000010110001001001001001010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110111111000111100000000101010100001011000100100000000101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011111100011110000000010101010000101100010010000000010100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101111110001111000000001010101000010110001001000000001010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111111111000111100000000101010100001011000100111111111101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111111100011110000000010101010000101100010011111111110100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111111110001111000000001010101000010111001001111111111010010000010000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111111111000111100000000101010100001011100100111111111101001000001000000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000000010011110000000010101010000101110010010000000010100100000100000011001100010100111000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100000001001111000000001010101000010111001001000000001010010000011000001100110001010011100010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110000000100111100000000101010100001011100100100000000101001000001100000110011000101001110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000000010011110000000010101010000101110010010000000010100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110000001001111000000001010101000010111001001111111111010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111000000100111100000000101010100001011100100111111111101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100000010011110000000010101010000011001110001111111110100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110000001001111000000001010101000001100111000111111111010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110100000100111100000000101010100000110011100011111111101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010000010011110000000010101010000011001110000010010010100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101000001001111000000001010101000001100111000001001001010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110100000100111100000000101010100000110011100000100100101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110000010011110000000010101010000011001110001111111110100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111000001001111000000001010101000001100111000111111111010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111100000100111100000000101010100000101000111111111111101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110000010011110000000010101010000010100011111111111110100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100100001001111000000001010101000001010001111010101011010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110010000100111100000000101010100000101000111101010101101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001000010011110000000010101010000010100011110101010110100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100100001001111000000001010101000001010001111010101011010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111010000100111100000000101010100000101000111111111111101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101000010011110000000010101010000010100011111111111110100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110100001001111000000001010101000010110001001111111111010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111010000100111100000000101010100001011000100111111111101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011000010011110000000010101010000101100010010010010010100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101100001001111000000001010101000010110001001000000001010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110110000100111100000000101010100001011000100100000000101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011000010011110000000010101010000101100010010000000010100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111100001001111000000001010101000010110001001111111111010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111110000100111100000000101010100001011000100111111111101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111000010011110000000010101010000101110010011111111110100100000110000011001100011110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111100001001111000000001010101000010111001001111111111010010000011000001100110001111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110001000100111100000000101010100001011100100100000000101001000001100000110011000111100000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000100010011110000000010101010000101110010010000000010100100000101000001001110111110000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100010001001111000000001010101000010111001001000000001010010000010100000100111011111000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110001000100111100000000101010100001011100100100000000101001000001010000010011101111111110001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100100010011110000000010101010000101110010011111111110100100000101000001001110111100000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110010001001111000000001010101000010111001001111111111010010000010100000100111011110000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111001000100111100000000101010101000110011100011111111101001000001010000010011101111000000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100100010011110000000010101010100011001110001111111110100100000101000001001110111100000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101010001001111000000001010101010001100111000111110001010010000010100000100111011110000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110101000100111100000000101010101000110011100010000000101001000001010000010011101111000000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010100010011110000000010101010100011001110001000000010100100000101000001001110111100000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101010001001111000000001010101010001100111000100000001010010000010100000100111011110000000010010110110101001001011010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111101000100111100000000101010101000110011100011111111101001000001010000010011101111000000001001011011010100100101101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110100010011110000000010101010100011001110001111111110101100000001000001001110111100000000100101101101010010010110100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111010001001111000000001010101000001010001111111111111010110000000100000100111011110000000010010110110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111101000100111100000000101010100000101000111111111111101011000000010000010011101111000000001001011011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001100010011110000000010101010000010100011110101010110101100000001000001001110111100000000100101101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100110001001111000000001010101000001010001111010101011010110000000100000100111011110000000010010110110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110011000100111100000000101010100000101000111101010101101011000000010000010011101111000000001001011011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001100010011110000000010101010000010100011110101010110101100000001000001001110111100000000100101101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110110001001111000000001010101000001010001111111111111010110000000100000100111011110000000010010110110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111011000100111100000000101010100000101000111111111111101011000000010000010011101111000000001001011011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101100010011110000000010101010000101101000001111111110101100000001000001001110111100000000100101101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110110001001111000000001010101000010110100000111111111010110000000100000100111011110000000010010110110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110111000100111100000000101010100001011010000000100100101011000000010000010011101111000000001001011011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011100010011110000000010101010000101101000000111111010101100000001000001001110111100000000100101101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101110001001111000000001010101000010110100000011111101010110000000100000100111011110000000010010110110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110111000100111100000000101010100001011010000001111110101011000000010000010011101111000000001001011011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111100010011110000000010101010000101101000001111111110101100000001000001001110111100000000100101101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111110001001111000000001010101000010110100000111111111010110000000100000100111011110000000010010110110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111111000100111100000000101010100001011110000011111111101011000000010000010011101111000000001001011011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111100010011110000000010101010000101111000001111111110101100000001000001001110111100000000100101101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100001001001111000000001010101000010111100000000000001010110000000100000100111011110000000010010110110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110000100100111100000000101010100001011110000000000000101011000000000000101011000111000000001001001011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000010010011110000000010101010000101111000000000000010101100000000000010101100011100000000100100101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100001001001111000000001010101000010111100000000000001010110000000000001010110001000111110010010010110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111000100100111100000000101010100001011110000011111111101011000000000000101011000100000100001001001011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100010010011110000000010101010000101111000001111111110101100000000000010101100010000010000100100101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110001001001111000000001010101000001010111000111111111010110000000000001010110001000001000010010010110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111000100100111100000000101010100000101011100011111111101011000000000000101011000100000100001001001011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010010010011110000000010101010000010101110000000000010101100000000000010101100010000010000100100101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101001001001111000000001010101000001010111000000101001010110000000000001010110001000001000010010010110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110100100100111100000000101010100000101011100000010100101011000000000000101011000100000100001001001011010100100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010010010011110000000010101010000010101110000001010010101100000000000010101100010000010000100100101101010010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111001001001111000000001010101000001010111000111111111010110000000000001010110001000001000010010010110101001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111100100100111100000000101010100000101011100011111111101011000000000000101011000100000100001001000000010000100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110010010011110000000010101010100010100011111111111110101100000000000010101100010000010000100100000001000010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111001001001111000000001010101010001010001111111111111010110000000000001010110001000001000010010000000100001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110010100100111100000000101010101000101000111101010101101011000000000000101011000100000100001001000000010000100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001010010011110000000010101010100010100011110101010110101100000000000010101100010000010000100100000001000010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100101001001111000000001010101010001010001111010101011010110000000000001010110001000001000010010000000100001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110010100100111100000000101010101000101000111101010101101011000000000000101011000100000100001001000000010000100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101010010011110000000010101010100010100011111111111110101100000000000010101100010000010000100100000001000010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110101001001111000000001010101010001010001111111111111010110000000000001010110001000001000010010000000100001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111010100100111100000000101010100001011000010111111111101011000000000000101011000100000100001001000000010000100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101010010011110000000010101010000101100001011111111110101100000000000010101100010000010000100100000001000010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101101001001111000000001010101000010110000101001001001010110000000000001010110001000001000010010000000100001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000110110100100111100000000101010100001011000010100000000101011000000000000101011000100000100001001000000010000100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011010010011110000000010101010000101100001010000000010101100000000000010101100010000010000100100000001000010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101101001001111000000001010101000010110000101000000001010110000000000001010110001000001000010010000000100001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111110100100111100000000101010100001011000010111111111101011000000000000101011000100000100001001000000010000100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111010010011110000000010101010000101100001011111111110101100000000000010101100010000010000100100000001000010010010100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111101001001111000000001010101000010111000101111111111010110000000000001010110001000001000010010000000100001001001010000000000000000000010000000000100000000000000000111001001110100001000011110001111000111110100100111100000000101010100001011100010111111111101011000000000000101011000100000100001001000000010000100100101000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000110010011110000000010101010000101110001010000000010101100000000000010101100010000010000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100011001001111000000001010101000010111000101000000001010110000001000001010110001000001000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110001100100111100000000101010100001011100010100000000101011000000100000101011000100000100001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000110010011110000000010101010000101110001010000000010101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110011001001111000000001010101000010111000101111111111010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111001100100111100000000101010100001011100010111111111101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100110010011110000000010101010100010101110001111111110101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110011001001111000000001010101010001010111000111111111010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110101100100111100000000101010101000101011100000000000101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010110010011110000000010101010100010101110001000000010101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101011001001111000000001010101010001010111000100000001010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110101100100111100000000101011111000101011100010000000101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111110110010011110000000010101111100010101110001111111110101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111111011001001111000000001010111110001010111000111111111010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111101100100111100000000101011111000101000111111111111101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111110110010011110000000010101111100010100011111111111110101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111100111001001111000000001010111110001010001111010101011010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110011100100111100000000101011111000101000111101010101101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111001110010011110000000010101111100010100011110101010110101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111100111001001111000000001010111110001010001111010101011010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111011100100111100000000101011111000101000111111111111101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111101110010011110000000010101111100010100011111111111110101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111110111001001111000000001010111100010110100000111111111010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111011100100111100000000101011110001011010000011111111101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111011110010011110000000010101111000101101000000010010010101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111101111001001111000000001010111100010110100000011111101010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110111100100111100000000101011110001011010000001111110101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111011110010011110000000010101111000101101000000111111010101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111111111001001111000000001010111100010110100000111111111010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111111100100111100000000101011110001011010000011111111101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111111110010011110000000010101111000101111000001111111110101100000010000010101100010010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111111111001001111000000001010111100010111100000111111111010110000001000001010110001001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110000010100111100000000101011110001011110000000000000101011000000100000101011000100100000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111000001010011110000000010101111000101111000000000000010101100000010000000011111100010000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111100000101001111000000001010111100010111100000000000001010110000001000000001111110001000000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110000010100111100000000101010100001011110000000000000101011000000100000000111111010011101001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100001010011110000000010101010000101111000001111111110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110000101001111000000001010101000010111100000111111111010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111000010100111100000000101010100000111011100011111111101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100001010011110000000010101010000011101110001111111110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101000101001111000000001010101000001110111000000000001010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110100010100111100000000101010100000111011100000100100101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010001010011110000000010101010000011101110000010010010101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101000101001111000000001010101000001110111000001001001010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111100010100111100000000101010100000111011100011111111101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110001010011110000000010101010000011101110001111111110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111000101001111000000001010101010001010001111111111111010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111100010100111100000000101010101000101000111111111111101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001001010011110000000010101010100010100011110101010110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100100101001111000000001010101010001010001111010101011010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110010010100111100000000101010101000101000111101010101101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001001010011110000000010101010100010100011110101010110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110100101001111000000001010101010001010001111111111111010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111010010100111100000000101010101000101000111111111111101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101001010011110000000010101010000101100010011111111110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110100101001111000000001010101000010110001001111111111010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110110010100111100000000101010100001011000100100100100101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011001010011110000000010101010000101100010010000000010101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101100101001111000000001010101000010110001001000000001010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110110010100111100000000101010100001011000100100000000101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111001010011110000000010101010000101100010011111111110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111100101001111000000001010101000010110001001111111111010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111110010100111100000000101010100001011100100111111111101011000000100000000111111001000001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111001010011110000000010101010000101110010011111111110101100000010000000011111100100000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100010101001111000000001010101000010111001001000000001010110000001000000001111110010000010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110001010100111100000000101010100001011100100100000000101011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000101010011110000000010101010000101110010010000000011101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100010101001111000000001010101000010111001001000000001110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111001010100111100000000101010100001011100100111111111111011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100101010011110000000010101010000101110010011111111111101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110010101001111000000001010101010001110111000111111111110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111001010100111100000000101010101000111011100011111111111011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010101010011110000000010101010100011101110000000000011101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101010101001111000000001010101010001110111000001001001110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110101010100111100000000101010101000111011100000100100111011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111010101010011110000000010101111100011101110000010010011101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111111010101001111000000001010111110001110111000111111111110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111101010100111100000000101011111000111011100011111111111011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111110101010011110000000010101111100010100011111111111111101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111111010101001111000000001010111110001010001111111111111110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110011010100111100000000101011111000101000111101010101110011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111001101010011110000000010101111100010100011110101010111001100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111100110101001111000000001010111110001010001111010101011100110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110011010100111100000000101011111000101000111101010101110011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111101101010011110000000010101111100010100011111111111111101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111110110101001111000000001010111110001010001111111111111110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111011010100111100000000101011110001011000100111111111111011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111101101010011110000000010101111000101100010011111111111101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111101110101001111000000001010111100010110001001001001001110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110111010100111100000000101011110001011000100100000000111011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111011101010011110000000010101111000101100010010000000011101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111101110101001111000000001010111100010110001001000000001110110000001000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111111010100111100000000101011110001011000100111111111111011000000100000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111111101010011110000000010101111000101100010011111111111101100000010000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111111110101001111000000001010111100010111001001111111111110110000000000000001111110010000010100000100000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111111111010100111100000000101011110001011100100111111111111011000000000000000111111001000001010000010000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111111111000011010011110000000010101111000101110010010000000011101100000000000000011111100100000101000001000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011111111100001101001111000000001010111100010111001001000000001110110000000000000001111110010000010110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111111110000110100111100000000101011110001011100100100000000111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000011010011110000000010101010000101110010010000000011101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110001101001111000000001010101000010111001001111111111110110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111000110100111100000000101010100001011100100111111111111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100011010011110000000010101010000010011110001111111111101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110001101001111000000001010101000001001111000111111111110110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110100110100111100000000101010100000100111100011111000111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010011010011110000000010101010000010011110000010010011101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101001101001111000000001010101000001001111000001001001110110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110100110100111100000000101010100000100111100000100100111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110011010011110000000010101010000010011110001111111111101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111001101001111000000001010101000001001111000111111111110110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111100110100111100000000101010100000111000111111111111111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110011010011110000000010101010000011100011111111111111101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100101101001111000000001010101000001110001111010101011100110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110010110100111100000000101010100000111000111101010101110011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001011010011110000000010101010000011100011110101010111001100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100101101001111000000001010101000001110001111010101011100110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111010110100111100000000101010100000111000111111111111111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101011010011110000000010101010000011100011111111111111101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110101101001111000000001010101000010110001001111111111110110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111010110100111100000000101010100001011000100111111111111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011011010011110000000010101010000101100010010010010011101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101101101001111000000001010101000010110001001000000001110110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110110110100111100000000101010100001011000100100000000111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011011010011110000000010101010000101100010010000000011101100000000000000011111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111101101001111000000001010101000010110001001111111111110110000000000000001111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111110110100111100000000101010100001011000100111111111111011000000000000000111111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111011010011110000000010101010000101110010011111111111101100000010000001101111100110110001101100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111101101001111000000001010101000010111001001111111111110110000001000000110111110011011000110110000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110001110100111100000000101010100001011100100100000000111011000000100000011011111001101100011011000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000111010011110000000010101010000101110010010000000011101100000000000011101100010110110000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100011101001111000000001010101000010111001001000000001010110000000000001110110001111111110010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110001110100111100000000101010100001011100100100000000101011000000000000111011000100000000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100111010011110000000010101010000101110010011111111110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110011101001111000000001010101000010111001001111111111010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111001110100111100000000101010101000100111100011111111101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100111010011110000000010101010100010011110001111111110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101011101001111000000001010101010001001111000111110001010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110101110100111100000000101010101000100111100000100100101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010111010011110000000010101010100010011110000010010010101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101011101001111000000001010101010001001111000001001001010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111101110100111100000000101010101000100111100011111111101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110111010011110000000010101010100010011110001111111110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111011101001111000000001010101000001110001111111111111010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111101110100111100000000101010100000111000111111111111101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001111010011110000000010101010000011100011110101010110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100111101001111000000001010101000001110001111010101011010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110011110100111100000000101010100000111000111101010101101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001111010011110000000010101010000011100011110101010110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110111101001111000000001010101000001110001111111111111010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111011110100111100000000101010100000111000111111111111101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101111010011110000000010101010000101100010011111111110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110111101001111000000001010101000010110001001111111111010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110111110100111100000000101010100001011000100100100100101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011111010011110000000010101010000101100010010000000010101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101111101001111000000001010101000010110001001000000001010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110111110100111100000000101010100001011000100100000000101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111111010011110000000010101010000101100010011111111110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111111101001111000000001010101000010110001001111111111010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111111110100111100000000101010100001011100100111111111101011000000000000111011000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111111010011110000000010101010000101110010011111111110101100000000000011101100010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100000011001111000000001010101000010111001001000000001010110000000000001110110001011100010010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110000001100111100000000101010100001011100100100000000101011000000011000101000000101110001001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000000110011110000000010101010000101110010010000000010101100000001100010100000010111000100100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100000011001111000000001010101000010111001001000000001010110000000110001010000001010100000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111000001100111100000000101010100001011100100111111111101011000000011000101000000101010000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011100000110011110000000010101010000101110010011111111110101100000001100010100000010101000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110000011001111000000001010101000001101111000111111111010110000000110001010000001010100000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111000001100111100000000101010100000110111100011111111101011000000011000101000000101010000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010000110011110000000010101010000011011110001111100010101100000001100010100000010101000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101000011001111000000001010101000001101111000001001001010110000000110001010000001010100000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110100001100111100000000101010100000110111100000100100101011000000011000101000000101010000001001000000010000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011010000110011110000000010101010000011011110000010010010101100000001100010100000010101000000100100000001000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111000011001111000000001010101000001101111000111111111010110000000110001010000001010100000010010000000100001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111100001100111100000000101010100000110111100011111111101011000000011000101000000101010000001001000101000000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011110000110011110000000010101010000011100011111111111110101100000001100010100000010101000000100100010100000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111000011001111000000001010101000001110001111111111111010110000000110001010000001010100000010010001010000001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110010001100111100000000101010100000111000111101010101101011000000011000101000000101010000001001000101000000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011001000110011110000000010101010000011100011110101010110101100000001100010100000010101000000100100010100000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001100100011001111000000001010101000001110001111010101011010110000000110001010000001010100000010010001010000001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110010001100111100000000101010100000111000111101010101101011000000011000101000000101010000001001000101000000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101000110011110000000010101010000011100011111111111110101100000001100010100000010101000000100100010100000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001110100011001111000000001010101000001110001111111111111010110000000110001010000001010100000010010001010000001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111010001100111100000000101010100001011000100111111111101011000000011000101000000101010000001001000101000000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011101000110011110000000010101010000101100010011111111110101100000001100010100000010101000000100100010100000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101100011001111000000001010101000010110001001001001001010110000000110001010000001010100000010010001010000001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000110110001100111100000000101010100001011000100100000000101011000000011000101000000101010000001001000101000000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011011000110011110000000010101010000101100010010000000010101100000001100010100000010101000000100100010100000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001101100011001111000000001010101000010110001001000000001010110000000110001010000001010100000010010001010000001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111110001100111100000000101010100001011000100111111111101011000000011000101000000101010000001001000101000000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011111000110011110000000010101010000101100010011111111110101100000001100010100000010101000000100100010100000010010011100000000000000000000100000000001000000000000000001110010011101000010000111100011110001111100011001111000000001010101000010111001001111111111010110000000110001010000001010100000010010001010000001001001110000000000000000000010000000000100000000000000000111001001110100001000011110001111000111110001100111100000000101010100001011100100111111111101011000000011000101000000101010000001001000101000000100100111000000000000000000001000000000010000000000000000011100100111010000100001111000111100011000100110011110000000010101010000101110010010000000010101100000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100010011001111000000001010101000010111001001000000001010110000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110001001100111100000000101010100001011100100100000000101011000000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011000100110011110000000010101010000101110010010000000010101100000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110010011001111000000001010101000010111001001111111111010110000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111001001100111100000000101010100001011100100111111111101011000000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011100100110011110000000010101010100011011110001111111110101100000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110010011001111000000001010101010001101111000111111111010110000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110101001100111100000000101010101000110111100011111000101011000000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011010100110011110000000010101010100011011110000010010010101100000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101010011001111000000001010101010001101111000001001001010110000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110101001100111100000000101010101000110111100000100100101011000000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011110100110011110000000010101010100011011110001111111110101100000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111010011001111000000001010101010001101111000111111111010011000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111101001100111100000000101010100000111000111111111111101001100000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011110100110011110000000010101010000011100011111111111110100110000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100110011001111000000001010101000001110001111010101011010011000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110011001100111100000000101010100000111000111101010101101001100000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011001100110011110000000010101010000011100011110101010110100110000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100110011001111000000001010101000001110001111010101011010011000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111011001100111100000000101010100000111000111111111111101001100000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011101100110011110000000010101010000011100011111111111110100110000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110110011001111000000001010101000010110001001111111111010011000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111011001100111100000000101010100001011000100111111111101001100000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011011100110011110000000010101010000101100010010010010010100110000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101110011001111000000001010101000010110001001000000001010011000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110111001100111100000000101010100001011000100100000000101001100000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011011100110011110000000010101010000101100010010000000010100110000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111110011001111000000001010101000010110001001111111111010011000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111111001100111100000000101010100001011000100111111111101001100000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011111100110011110000000010101010000101110010011111111110100110000001100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111110011001111000000001010101000010111001001111111111010011000000110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110000101100111100000000101010100001011100100100000000101001100000011000101000000101010000001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011000010110011110000000010101010000101110010010000000010100110000011100010100000010101000000100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100001011001111000000001010101000010111001001000000001010011000001110001010000001010100000010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110000101100111100000000101010100001011100100100000000101001100000111000101000000100010101001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011100010110011110000000010101010000101110010011111111110100110000011100010100000010001010100100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110001011001111000000001010101000010111001001111111111010011000001110001010000001000101010010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111000101100111100000000101010100000101111100011111111101001100000111000101000000100010101001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011100010110011110000000010101010000010111110001111111110100110000011100010100000010001010100100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101001011001111000000001010101000001011111000000000001010011000001110001010000001000101010010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110100101100111100000000101010100000101111100000100100101001100000111000101000000100010101001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011010010110011110000000010101010000010111110000010010010100110000011100010100000010001010100100100010100000010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101001011001111000000001010101000001011111000001001001010011000001110001010000001000101010010010001010000001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111100101100111100000000101010100000101111100011111111101001100000111000101000000100010101001001000101000000100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011110010110011110000000010101010000010111110001111111110100110000011100010100000010001010100100100000101010010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111001011001111000000001010101010001110001111111111111010011000001110001010000001000101010010010000010101001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111100101100111100000000101010101000111000111111111111101001100000111000101000000100010101001001000001010100100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011001010110011110000000010101010100011100011110101010110100110000011100010100000010001010100100100000101010010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100101011001111000000001010101010001110001111010101011010011000001110001010000001000101010010010000010101001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110010101100111100000000101010101000111000111101010101101001100000111000101000000100010101001001000001010100100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011001010110011110000000010101010100011100011110101010110100110000011100010100000010001010100100100000101010010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110101011001111000000001010101010001110001111111111111010011000001110001010000001000101010010010000010101001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111010101100111100000000101010101000111000111111111111101001100000111000101000000100010101001001000001010100100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011101010110011110000000010101010000101100010011111111110100110000011100010100000010001010100100100000101010010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110101011001111000000001010101000010110001001111111111010011000001110001010000001000101010010010000010101001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110110101100111100000000101010100001011000100100100100101001100000111000101000000100010101001001000001010100100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011011010110011110000000010101010000101100010010000000010100110000011100010100000010001010100100100000101010010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101101011001111000000001010101000010110001001000000001010011000001110001010000001000101010010010000010101001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000110110101100111100000000101010100001011000100100000000101001100000111000101000000100010101001001000001010100100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011111010110011110000000010101010000101100010011111111110100110000011100010100000010001010100100100000101010010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111101011001111000000001010101000010110001001111111111010011000001110001010000001000101010010010000010101001001001010000000001000000000010000000000100000000000000000111001001110100001000011110001111000111110101100111100000000101010100001011100100111111111101001100000111000101000000100010101001001000001010100100100101000000000100000000001000000000010000000000000000011100100111010000100001111000111100011111010110011110000000010101010000101110010011111111110100110000011100010100000010001010100100100000101010010010010100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100011011001111000000001010101000010111001001000000001010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110001101100111100000000101010100001011100100100000000101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011000110110011110000000010101010000101110010010000000010100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100011011001111000000001010101000010111001001000000001010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111001101100111100000000101010100001011100100111111111101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011100110110011110000000010101010000101110010011111111110100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110011011001111000000001010101010001011111000111111111010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111001101100111100000000101010101000101111100011111111101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011010110110011110000000010101010100010111110000000000010100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101011011001111000000001010101010001011111000001001001010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110101101100111100000000101010101000101111100000100100101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011010110110011110000000010101010100010111110000010010010100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111011011001111000000001010101010001011111000111111111010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111101101100111100000000101010101000101111100011111111101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011110110110011110000000010101010100011100011111111111110100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111011011001111000000001010101010001110001111111111111010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110011101100111100000000101010101000111000111101010101101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011001110110011110000000010101010100011100011110101010110100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100111011001111000000001010101010001110001111010101011010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110011101100111100000000101010101000111000111101010101101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011101110110011110000000010101010100011100011111111111110100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110111011001111000000001010101010001110001111111111111010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111011101100111100000000101010100001011000100111111111101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011101110110011110000000010101010000101100010011111111110100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101111011001111000000001010101000010110001001001001001010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110111101100111100000000101010100001011000100100000000101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011011110110011110000000010101010000101100010010000000010100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101111011001111000000001010101000010110001001000000001010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111111101100111100000000101010100001011000100111111111101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011111110110011110000000010101010000101100010011111111110100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111111011001111000000001010101000010111001001111111111010011000001110001010000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111111101100111100000000101010100001011100100111111111101001100000111000101000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011000001110011110000000010101010000101110010010000000010100110000011100010100000010001010100100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100000111001111000000001010101000010111001001000000001010011000000110001110000001000101010010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110000011100111100000000101010100001011100100100000000101001100000011000111000000100010101001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011000001110011110000000010101010000101110010010000000010100110000001100011100000010001011000100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110000111001111000000001010101000010111001001111111111010011000000110001110000001000101100010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111000011100111100000000101010100001011100100111111111101001100000011000111000000100010110001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011100001110011110000000010101010000011111110001111111110100110000001100011100000010001011000100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110000111001111000000001010101000001111111000111111111010011000000110001110000001000101100010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110100011100111100000000101010100000111111100000000000101001100000011000111000000100010110001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011010001110011110000000010101010000011111110000010010010100110000001100011100000010001011000100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101000111001111000000001010101000001111111000001001001010011000000110001110000001000101100010010000010101001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110100011100111100000000101010100000111111100000100100101001100000011000111000000100010110001001000001010100100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011110001110011110000000010101010000011111110001111111110100110000001100011100000010001011000100100000101010010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111000111001111000000001010101000001111111000111111111010011000000110001110000001000101100010010000010110001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111100011100111100000000101010101000111000111111111111101001100000011000111000000100010110001001000001011000100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011110001110011110000000010101010100011100011111111111110100110000001100011100000010001011000100100000101100010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100100111001111000000001010101010001110001111010101011010011000000110001110000001000101100010010000010110001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110010011100111100000000101010101000111000111101010101101001100000011000111000000100010110001001000001011000100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011001001110011110000000010101010100011100011110101010110100110000001100011100000010001011000100100000101100010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001100100111001111000000001010101010001110001111010101011010011000000110001110000001000101100010010000010110001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111010011100111100000000101010101000111000111111111111101001100000011000111000000100010110001001000001011000100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011101001110011110000000010101010100011100011111111111110100110000001100011100000010001011000100100000101100010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001110100111001111000000001010101000010110001001111111111010011000000110001110000001000101100010010000010110001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111010011100111100000000101010100001011000100111111111101001100000011000111000000100010110001001000001011000100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011011001110011110000000010101010000101100010010010010010100110000001100011100000010001011000100100000101100010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001101100111001111000000001010101000010110001001000000001010011000000110001110000001000101100010010000010110001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110110011100111100000000101010100001011000100100000000101001100000011000111000000100010110001001000001011000100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011011001110011110000000010101010000101100010010000000010100110000001100011100000010001011000100100000101100010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111100111001111000000001010101000010110001001111111111010011000000110001110000001000101100010010000010110001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000111110011100111100000000101010100001011000100111111111101001100000011000111000000100010110001001000001011000100100111000000000100000000001000000000010000000000000000011100100111010000100001111000111100011111001110011110000000010101010000101110010011111111110100110000001100011100000010001011000100100000101100010010011100000000010000000000100000000001000000000000000001110010011101000010000111100011110001111100111001111000000001010101000010111001001111111111010011000000110001110000001000101100010010000010110001001001110000000001000000000010000000000100000000000000000111001001110100001000011110001111000110001011100111100000000101010100001011100100100000000101001100000011000111000000100010110001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011000101110011110000000010101010000101110010010000000010100110000001100010010000010001011000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100010111001111000000001010101000010111001001000000001010011000000110001001000001000101100010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110001011100111100000000101010100001011100100100000000101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011100101110011110000000010101010000101110010011111111110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110010111001111000000001010101000010111001001111111111010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111001011100111100000000101010101000111111100011111111101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011100101110011110000000010101010100011111110001111111110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101010111001111000000001010101010001111111000000000001010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110101011100111100000000101010101000111111100000100100101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011010101110011110000000010101010100011111110000010010010100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101010111001111000000001010101010001111111000001001001010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111101011100111100000000101010101000111111100011111111101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011110101110011110000000010101010100011111110001111111110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111010111001111000000001010101010001110001111111111111010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111101011100111100000000101010101000111000111111111111101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011001101110011110000000010101010100011100011110101010110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100110111001111000000001010101010001110001111010101011010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110011011100111100000000101010101000111000111101010101101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011001101110011110000000010101010100011100011110101010110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110110111001111000000001010101010001110001111111111111010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111011011100111100000000101010101000111000111111111111101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011101101110011110000000010101010000101100010011111111110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110110111001111000000001010101000010110001001111111111010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110111011100111100000000101010100001011000100100100100101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011011101110011110000000010101010000101100010010000000010100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101110111001111000000001010101000010110001001000000001010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110111011100111100000000101010100001011000100100000000101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011111101110011110000000010101010000101100010011111111110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111110111001111000000001010101000010110001001111111111010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111111011100111100000000101010100001011100100111111111101001100000011000100100000100100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011111101110011110000000010101010000101110010011111111110100110000001100010010000010010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100001111001111000000001010101000010111001001000000001010011000000110001001000001001000000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110000111100111100000000101010100001011100100100000000101001100000100000011011111000100000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011000011110011110000000010101010000101110010010000000010100110000010000001101111100010000000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100001111001111000000001010101000010111001001000000001010011000001000000110111110000001010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111000111100111100000000101010100001011100100111111111101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011100011110011110000000010101010000101110010011111111110100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110001111001111000000001010101001001000011000111111111010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111000111100111100000000101010100100100001100011111111101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011010011110011110000000010101010010010000110001110000010100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101001111001111000000001010101001001000011000001001001010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110100111100111100000000101010100100100001100000100100101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011010011110011110000000010101010010010000110000010010010100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111001111001111000000001010101001001000011000111111111010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111100111100111100000000101010100100100001100011111111101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011110011110011110000000010101010010010000011111111111110100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111001111001111000000001010101001001000001111111111111010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110010111100111100000000101010100100100000111100000000101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011001011110011110000000010101010010010000011110000000010100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100101111001111000000001010101001001000001111000000001010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110010111100111100000000101010100100100000111100000000101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011101011110011110000000010101010010010000011111111111110100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110101111001111000000001010101001001000001111111111111010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111010111100111100000000101010100001011000100111111111101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011101011110011110000000010101010000101100010011111111110100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101101111001111000000001010101000010110001001001001001010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110110111100111100000000101010100001011000100100000000101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011011011110011110000000010101010000101100010010000000010100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101101111001111000000001010101000010110001001000000001010011000001000000110111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111110111100111100000000101010100001011000100111111111101001100000100000011011111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011111011110011110000000010101010000101100010011111111110100110000010000001101111101111010100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111101111001111000000001010101000010111001001111111111010011000000000000001111110111101010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111110111100111100000000101010100001011100100111111111101001100000000000000111111011110101001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011000111110011110000000010101010000101110010010000000010100110000000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100011111001111000000001010101000010111001001000000001010011000000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110001111100111100000000101010100001011100100100000000101001100000000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011000111110011110000000010101010000101110010010000000010100110000000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110011111001111000000001010101000010111001001111111111010011000000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111001111100111100000000101010100001011100100111111111101001100000000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011100111110011110000000010101010110010000110001111111110100110000000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110011111001111000000001010101011001000011000111111111010011000000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110101111100111100000000101010101100100001100011100000101001100000000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011010111110011110000000010101010110010000110000010010010100110000000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101011111001111000000001010101011001000011000001001001010011000000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110101111100111100000000101010101100100001100000100100101001100000000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011110111110011110000000010101010110010000110001111111110100110000000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111011111001111000000001010101011001000011000111111111010011011000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111101111100111100000000101010100100100000111111111111101001101100000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011110111110011110000000010101010010010000011111111111110100110110000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100111111001111000000001010101001001000001111000000001010011011000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110011111100111100000000101010100100100000111100000000101001101100000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011001111110011110000000010101010010010000011110000000010100110110000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001100111111001111000000001010101001001000001111000000001010011011000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111011111100111100000000101010100100100000111111111111101001101100000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011101111110011110000000010101010010010000011111111111110100110110000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001110111111001111000000001010101000010110001001111111111010011011000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111011111100111100000000101010100001011000100111111111101001101100000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011011111110011110000000010101010000101100010010010010010100110110000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001101111111001111000000001010101000010110001001000000001010011011000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110111111100111100000000101010100001011000100100000000101001101100000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011011111110011110000000010101010000101100010010000000010100110110000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111111111001111000000001010101000010110001001111111111010011011000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000111111111100111100000000101010100001011000100111111111101001101100000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000111100011111111110011110000000010101010000101110010011111111110100110110000000000011111100110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100011110001111111111001111000000001010101000010111001001111111111010011011000000000001111110011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110001111000110000000010111100000000101010100001011100100100000000101001101100000000000111111001101100001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001000000001011110000000010100000000101110010010000000010100110110011100011100000010110110000100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100000000101111000000001010000000010111001001000000001010011011001110001110000001011011000010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000010000000010111100000000101000000001011100100100000000101001101100111000111000000100000000001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001100000001011110000000010100000000101110010011111111110100110110011100011100000011010000100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110000000101111000000001010000000010111001001111111111010011011001110001110000001101000010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000011000000010111100000000101000000001011100100111111111101001101100111000111000000110100001001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001100000001011110000000010100000000101110010011111111110100110110011100011100000011010000100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101000000101111000000001010000000010111001001000000001010011011001110001110000001101000010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000010100000010111100000000101000000001011100100100000000101001101100111000111000000110100001001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001010000001011110000000010100000000101110010010000000010100110110011100011100000011010000100100100000101100010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101000000101111000000001010000000010111001001000000001010011011001110001110000001101000010010010000010110001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000011100000010111100000000101000000001011100100111111111101001101100111000111000000110100001001001000001011000100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001110000001011110000000010100000000101110010011111111110100110110011100011100000011010000100100100101000010010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111000000101111000000001010000000010111001001111111111010011011001110001110000001101000010010010010100001001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000011100000010111100000000101000000001011100100111111111101001101100111000111000000110100001001001001010000100100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001001000001011110000000010100000000101110010010000000010100110110011100011100000011010000100100100101000010010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100100000101111000000001010000000010111001001000000001010011011001110001110000001101000010010010010100001001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000010010000010111100000000101000000001011100100100000000101001101100111000111000000110100001001001001010000100100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001001000001011110000000010100000000101110010010000000010100110110011100011100000011010000100100100101000010010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110100000101111000000001010000000010111001001111111111010011011001110001110000001101000010010010010100001001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000011010000010111100000000101000001110011011111111111111101001101100111000111000000110100001001001001010000100100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001101000001011110000000010100000111001101111111111111110100110110011100011100000011010000100100100101000010010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110100000101111000000001010000011100110111111111111111010011011001110001110000001101000010010010010100001001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000010110000010111100000000101000001110011011111100000000101001101100111000111000000110100001001001001010000100100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001011000001011110000000010100000111001101111110000000010100110110011100011100000011010000100100100101000010010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101100000101111000000001010000011100110111111000000001010011011001110001110000001101000010010010010100001001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000010110000010111100000000101000001110011011111100000000101001101100111000111000000110100001001001001010000100100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001111000001011110000000010100000111001101111111111111110100110110011100011100000011010000100100100101000010010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111100000101111000000001010000011100111111111111111111010011011001110001110000001101000010010010010100001001001001010000000000000000000000000000000000000000001000000111001001110100001000011110000000000011110000010111100000000101000001110011111111111111111101001101100111000111000000110100001001001001010000100100100101000000000000000000000000000000000000000000100000011100100111010000100001111000000000001111000001011110000000010100000111001111111111111111110100110110011100011100000011010000100100100101000010010010010100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100010000101111000000001010000011100111111111000000001010011011001110001110000001101000010010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010001000010111100000000101000001110011111111100000000101001101100011000100100000110100001001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001000100001011110000000010100000111001111111110000000010100110110001100010010000011010000100100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100010000101111000000001010000011100111111111000000001010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011001000010111100000000101000001110011111111111111111101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001100100001011110000000010100000111001111111111111111110100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110010000101111000000001010000011100111111111111111111010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011001000010111100000000101000001110011111111111111111101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001010100001011110000000010100000111001111111110000000010100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101010000101111000000001010000011100111111111000000001010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010101000010111100000000101000001110011111111100000000101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001010100001011110000000010100000111001111111110000000010100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111010000101111000000001010000011100111111111111111111010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011101000010111100000000101000001110011111111111111111101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001110100001011110000000010100000111001111111111111111110100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111010000101111000000001010000011100111111111111111111010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010011000010111100000000101000001110011111111100000000101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001001100001011110000000010100000111001111111110000000010100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100110000101111000000001010000011100111111111000000001010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010011000010111100000000101000001110011111111100000000101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001101100001011110000000010100000111001111111111111111110100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110110000101111000000001010000001100000111111111111111010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011011000010111100000000101000000110000011111111111111101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001101100001011110000000010100000011000001111111111111110100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101110000101111000000001010000001100000111111000000001010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010111000010111100000000101000000110000011111100000000101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001011100001011110000000010100000011000001111110000000010100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101110000101111000000001010000001100000111111000000001010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011111000010111100000000101000000110000011111111111111101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001111100001011110000000010100000011000011111111111111110100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111110000101111000000001010000001100001111111111111111010011011000110001001000001001000000010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011111000010111100000000101000000110000111111111111111101001101100011000100100000100100000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001000010001011110000000010100000011000011111110000000010100110110001100010010000010010000000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100001000101111000000001010000001100001111111000000001010011011000000000010000000001000000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010000100010111100000000101000000110000111111100000000111001101100000000001000000000100000011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001000010001011110000000010100000011000011111110000000011100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110001000101111000000001010000001100001111111111111111110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011000100010111100000000101000000110000111111111111111111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001100010001011110000000010100000011000011111111111111111100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110001000101111000000001010000001100001111111111111111110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010100100010111100000000101000000110000111111100000000111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001010010001011110000000010100000011000011111110000000011100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101001000101111000000001010000001100001111111000000001110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010100100010111100000000101000000110000111111100000000111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001110010001011110000000010100000011000011111111111111111100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111001000101111000000001010000001100001111111111111111110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011100100010111100000000101000000110000111111111111111111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001110010001011110000000010100000011000011111111111111111100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100101000101111000000001010000001100001111111000000001100011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010010100010111100000000101000000110000111111100000000110001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001001010001011110000000010100000011000011111110000000011000110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100101000101111000000001010000001100001111111000000001100011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011010100010111100000000101000000110000111111111111111111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001101010001011110000000010100000111001101111111111111111100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110101000101111000000001010000011100110111111111111111110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011010100010111100000000101000001110011011111111111111111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001011010001011110000000010100000111001101111110000000011100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101101000101111000000001010000011100110111111000000001110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010110100010111100000000101000001110011011111100000000111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001011010001011110000000010100000111001101111110000000011100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111101000101111000000001010000011100110111111111111111110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011110100010111100000000101000001110011111111111111111111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001111010001011110000000010100000111001111111111111111111100110110000000000100000000110110001101100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000111101000101111000000001010000011100111111111111111111110011011000000000010000000011011000110110010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010001100010111100000000101000001110011111111100000000111001101100000000001000000001101100011011001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001000110001011110000000010100000111001111111110000000011100110110011100010010000010110110000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000100011000101111000000001010000011100111111111000000001010011011001110001001000001111111110010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010001100010111100000000101000001110011111111100000000101001101100111000100100000100000000001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001100110001011110000000010100000111001111111111111111110100110110011100010010000010001011000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000110011000101111000000001010000011100111111111111111111010011011001110001001000001000101100010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000011001100010111100000000101000001110011111111111111111101001101100111000100100000100010110001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001100110001011110000000010100000111001111111111111111110100110110011100010010000010001011000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101011000101111000000001010000011100111111111000000001010011011001110001001000001000101100010010010100001001001001110000000000000000000000000000000000000000001000000111001001110100001000011110000000000010101100010111100000000101000001110011111111100000000101001101100111000100100000100010110001001001010000100100100111000000000000000000000000000000000000000000100000011100100111010000100001111000000000001010110001011110000000010100000111001111111110000000010100110110011100010010000010001011000100100101000010010010011100000000000000000000000000000000000000000010000001110010011101000010000111100000000000101011000101111000000001010000011100111111111000000001010</data>\r
+          <data global_temp="1" name="log: 2016/10/08 15:42:25  #1" power_up_mode="false" sample_depth="8192" trigger_position="1024">000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000110110000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010000010000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010000010000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010111111110010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001101110101001000010011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000010011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010100101000010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010100101000010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000000100110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000000100110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001101110101001000010011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000010011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100000101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001101110101001000010011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000010011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000110110000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010000000000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010111111110110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010110101111000000000000000000001000000000010000000001101110101001000010011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100111000000000000000000001000000000010000000001101110101001000010011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010100101000110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010100101000110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000000100110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001101110101001000010011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000011111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000011111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000011111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000011111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000010011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000000000001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000000000001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000000000001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000000000000001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000000001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000000001110000000010000000000000000000000000000000000010000001000111110011101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000000001110000000010000000000000000000000000000000000010000001000111110011101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000100000000001110000000010000000000000000000000000000000000010000001000111110011101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000000001110000000010000000000000000000000000000000000010000001000111110011101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000000001110000000010000000000000000000000000000000000010000001000111110001101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000000001110000000010000000000000000000000000000000000010000001000111110001101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000010000000001110000000010000000000000000000000000000000000010000001000111110001101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110000000001110000000010000000000000000000000000000000000010000001000111110001101010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001101110101001000010011000100001111000110000000001110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000110000000001110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000110000000001110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000001000000001110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000001000000001110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000001000000001110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000001000000001110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000101000000001110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000101000000001110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000101000000001110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000101000000001110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000011000000001110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000011000000001110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000011000000001110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000011000000001110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000111000000001110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000111000000001110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000111000000001110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000111000000001110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000010011000100001111000000100000001110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000100000001110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000100000001110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000100000001110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100100000001110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100100000001110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100100000001110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100100000001110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010100000001110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010100000001110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010100000001110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010100000001110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110100000001110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110100000001110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110100000001110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110100000001110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001100000001110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001100000001110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001100000001110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001100000001110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101100000001110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101100000001110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101100000001110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101100000001110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011100000001110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011100000001110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011100000001110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011100000001110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111100000001110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111100000001110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111100000001110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111100000001110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000010000001110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000010000001110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001100101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000010000001110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001100101010010000000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000010000001110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100010000001110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100010000001110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100010000001110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100010000001110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010010000001110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010010000001110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010010000001110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010010000001110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110010000001110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110010000001110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110010000001110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110010000001110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001010000001110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001010000001110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001010000001110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001010000001110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101010000001110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101010000001110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101010000001110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101010000001110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011010000001110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011010000001110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011010000001110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011010000001110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111010000001110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111010000001110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111010000001110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111010000001110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000110000001110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000110000001110000000010000000000000000000000000000000000010000001000111110000000010010000000000000100100000000000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000110000001110000000010000000000000000000000000000000000010000001000111110000000010010000000000000100100000000000001000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000110000001110000000010000000000000000000000000000000000010000001000111110000000010010000000000000100100000000110110000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100110000001110000000010000000000000000000000000000000000010000001000111110000000010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100110000001110000000010000000000000000000000000000000000010000001000111110011111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100110000001110000000010000000000000000000000000000000000010000001000111110011111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100110000001110000000010000000000000000000000000000000000010000001000111110011111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010110000001110000000010000000000000000000000000000000000010000001000111110011111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010110000001110000000010000000000000000000000000000000000010000001000111110001111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010110000001110000000010000000000000000000000000000000000010000001000111110001111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010110000001110000000010000000000000000000000000000000000010000001000111110001111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110110000001110000000010000000000000000000000000000000000010000001000111110001111100010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110110000001110000000010000000000000000000000000000000000010000001000111110010111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110110000001110000000010000000000000000000000000000000000010000001000111110010111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110110000001110000000010000000000000000000000000000000000010000001000111110010111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001110000001110000000010000000000000000000000000000000000010000001000111110010111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001110000001110000000010000000000000000000000000000000000010000001000111110000111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001110000001110000000010000000000000000000000000000000000010000001000111110000111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000001110000001110000000010000000000000000000000000000000000010000001000111110000111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101110000001110000000010000000000000000000000000000000000010000001000111110000111100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101110000001110000000010000000000000000000000000000000000010000001000111110011011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101110000001110000000010000000000000000000000000000000000010000001000111110011011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000101110000001110000000010000000000000000000000000000000000010000001000111110011011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011110000001110000000010000000000000000000000000000000000010000001000111110011011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011110000001110000000010000000000000000000000000000000000010000001000111110001011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011110000001110000000010000000000000000000000000000000000010000001000111110001011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000011110000001110000000010000000000000000000000000000000000010000001000111110001011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111110000001110000000010000000000000000000000000000000000010000001000111110001011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111110000001110000000010000000000000000000000000000000000010000001000111110010011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111110000001110000000010000000000000000000000000000000000010000001000111110010011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000111110000001110000000010000000000000000000000000000000000010000001000111110010011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000001000001110000000010000000000000000000000000000000000010000001000111110010011100010000010000000100100000000000010000110010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000001000001110000000010000000000000000000000000000000000010000001000111110000011100010000010001000001100101010000010000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000001000001110000000010000000000000000000000000000000000010000001000111110000011100010000010001000001100101010000010000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000000001000001110000000010000000000000000000000000000000000010000001000111110000011100010000010001000001100101010111111110010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100001000001110000000010000000000000000000000000000000000010000001000111110000011100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100001000001110000000010000000000000000000000000000000000010000001000111110011101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100001000001110000000010000000000000000000000000000000000010000001000111110011101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000100001000001110000000010000000000000000000000000000000000010000001000111110011101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010001000001110000000010000000000000000000000000000000000010000001000111110011101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010001000001110000000010000000000000000000000000000000000010000001000111110001101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010001000001110000000010000000000000000000000000000000000010000001000111110001101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000010001000001110000000010000000000000000000000000000000000010000001000111110001101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110001000001110000000010000000000000000000000000000000000010000001000111110001101100010000010001000001100101010100101000010010010110101101000000000000000000000000000000000000000001001110101000000001011000100001111000110001000001110000000010000000000000000000000000000000000010000001000111110010101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000110001000001110000000010000000000000000000000000000000000010000001000111110010101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000110001000001110000000010000000000000000000000000000000000010000001000111110010101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000001001000001110000000010000000000000000000000000000000000010000001000111110010101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000001001000001110000000010000000000000000000000000000000000010000001000111110000101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000001001000001110000000010000000000000000000000000000000000010000001000111110000101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000001001000001110000000010000000000000000000000000000000000010000001000111110000101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000101001000001110000000010000000000000000000000000000000000010000001000111110000101100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000101001000001110000000010000000000000000000000000000000000010000001000111110011001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000101001000001110000000010000000000000000000000000000000000010000001000111110011001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000101001000001110000000010000000000000000000000000000000000010000001000111110011001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000011001000001110000000010000000000000000000000000000000000010000001000111110011001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000011001000001110000000010000000000000000000000000000000000010000001000111110001001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000011001000001110000000010000000000000000000000000000000000010000001000111110001001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000011001000001110000000010000000000000000000000000000000000010000001000111110001001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000111001000001110000000010000000000000000000000000000000000010000001000111110001001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000111001000001110000000010000000000000000000000000000000000010000001000111110010001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000111001000001110000000010000000000000000000000000000000000010000001000111110010001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000111001000001110000000010000000000000000000000000000000000010000001000111110010001100010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001001110101000000001011000100001111000000101000001110000000010000000000000000000000000000000000010000001000111110010001100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000000101000001110000000010000000000000000000000000000000000010000001000111110000001100010000010000000001010101010100101000010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000000101000001110000000010000000000000000000000000000000000010000001000111110000001100010000010000000001010101010100101000010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000000101000001110000000010000000000000000000000000000000000010000001000111110000001100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100101000001110000000010000000000000000000000000000000000010000001000111110000001100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100101000001110000000010000000000000000000000000000000000010000001000111110011110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100101000001110000000010000000000000000000000000000000000010000001000111110011110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100101000001110000000010000000000000000000000000000000000010000001000111110011110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010101000001110000000010000000000000000000000000000000000010000001000111110011110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010101000001110000000010000000000000000000000000000000000010000001000111110001110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010101000001110000000010000000000000000000000000000000000010000001000111110001110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010101000001110000000010000000000000000000000000000000000010000001000111110001110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000110101000001110000000010000000000000000000000000000000000010000001000111110001110100010000010000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000110101000001110000000010000000000000000000000000000000000010000001000111110010110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000110101000001110000000010000000000000000000000000000000000010000001000111110010110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000110101000001110000000010000000000000000000000000000000000010000001000111110010110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000001101000001110000000010000000000000000000000000000000000010000001000111110010110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000001101000001110000000010000000000000000000000000000000000010000001000111110000110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000001101000001110000000010000000000000000000000000000000000010000001000111110000110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000001101000001110000000010000000000000000000000000000000000010000001000111110000110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000101101000001110000000010000000000000000000000000000000000010000001000111110000110100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000101101000001110000000010000000000000000000000000000000000010000001000111110011010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000101101000001110000000010000000000000000000000000000000000010000001000111110011010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000101101000001110000000010000000000000000000000000000000000010000001000111110011010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000011101000001110000000010000000000000000000000000000000000010000001000111110011010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000011101000001110000000010000000000000000000000000000000000010000001000111110001010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000011101000001110000000010000000000000000000000000000000000010000001000111110001010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000011101000001110000000010000000000000000000000000000000000010000001000111110001010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000111101000001110000000010000000000000000000000000000000000010000001000111110001010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000111101000001110000000010000000000000000000000000000000000010000001000111110010010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000111101000001110000000010000000000000000000000000000000000010000001000111110010010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000111101000001110000000010000000000000000000000000000000000010000001000111110010010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000000011000001110000000010000000000000000000000000000000000010000001000111110010010100010000000000000001010101010000000100010010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000000011000001110000000010000000000000000000000000000000000010000001000111110000010100010000000001000001010101010000000100110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000000011000001110000000010000000000000000000000000000000000010000001000111110000010100010000000001000001010101010000000100110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000000011000001110000000010000000000000000000000000000000000010000001000111110000010100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100011000001110000000010000000000000000000000000000000000010000001000111110000010100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100011000001110000000010000000000000000000000000000000000010000001000111110011100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100011000001110000000010000000000000000000000000000000000010000001000111110011100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000100011000001110000000010000000000000000000000000000000000010000001000111110011100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010011000001110000000010000000000000000000000000000000000010000001000111110011100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010011000001110000000010000000000000000000000000000000000010000001000111110001100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010011000001110000000010000000000000000000000000000000000010000001000111110001100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000010011000001110000000010000000000000000000000000000000000010000001000111110001100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000110011000001110000000010000000000000000000000000000000000010000001000111110001100100010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001001110101000000001011000100001111000110011000001110000000010000000000000000000000000000000000010000001000111110010100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000110011000001110000000010000000000000000000000000000000000010000001000111110010100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000110011000001110000000010000000000000000000000000000000000010000001000111110010100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000001011000001110000000010000000000000000000000000000000000010000001000111110010100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000001011000001110000000010000000000000000000000000000000000010000001000111110000100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000001011000001110000000010000000000000000000000000000000000010000001000111110000100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000001011000001110000000010000000000000000000000000000000000010000001000111110000100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000101011000001110000000010000000000000000000000000000000000010000001000111110000100100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000101011000001110000000010000000000000000000000000000000000010000001000111110011000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000101011000001110000000010000000000000000000000000000000000010000001000111110011000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000101011000001110000000010000000000000000000000000000000000010000001000111110011000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000011011000001110000000010000000000000000000000000000000000010000001000111110011000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000011011000001110000000010000000000000000000000000000000000010000001000111110001000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000011011000001110000000010000000000000000000000000000000000010000001000111110001000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000011011000001110000000010000000000000000000000000000000000010000001000111110001000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000111011000001110000000010000000000000000000000000000000000010000001000111110001000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000111011000001110000000010000000000000000000000000000000000010000001000111110010000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000111011000001110000000010000000000000000000000000000000000010000001000111110010000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000111011000001110000000010000000000000000000000000000000000010000001000111110010000100010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000001011000100001111000000111000001110000000010000000000000000000000000000000000010000001000111110010000100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000111000001110000000010000000000000000000000000000000000010000001000111110000000100010000000000000001110101010000011110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000111000001110000000010000000000000000000000000000000000010000001000111110000000100010000000000000001110101010000011110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000111000001110000000010000000000000000000000000000000000010000001000111110000000100010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100111000001110000000010000000000000000000000000000000000010000001000111110000000100010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100111000001110000000010000000000000000000000000000000000010000001000111110011111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100111000001110000000010000000000000000000000000000000000010000001000111110011111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100111000001110000000010000000000000000000000000000000000010000001000111110011111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010111000001110000000010000000000000000000000000000000000010000001000111110011111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010111000001110000000010000000000000000000000000000000000010000001000111110001111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010111000001110000000010000000000000000000000000000000000010000001000111110001111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010111000001110000000010000000000000000000000000000000000010000001000111110001111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110111000001110000000010000000000000000000000000000000000010000001000111110001111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110111000001110000000010000000000000000000000000000000000010000001000111110010111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110111000001110000000010000000000000000000000000000000000010000001000111110010111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110111000001110000000010000000000000000000000000000000000010000001000111110010111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001111000001110000000010000000000000000000000000000000000010000001000111110010111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001111000001110000000010000000000000000000000000000000000010000001000111110000111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001111000001110000000010000000000000000000000000000000000010000001000111110000111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001111000001110000000010000000000000000000000000000000000010000001000111110000111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101111000001110000000010000000000000000000000000000000000010000001000111110000111000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101111000001110000000010000000000000000000000000000000000010000001000111110011011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101111000001110000000010000000000000000000000000000000000010000001000111110011011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101111000001110000000010000000000000000000000000000000000010000001000111110011011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011111000001110000000010000000000000000000000000000000000010000001000111110011011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011111000001110000000010000000000000000000000000000000000010000001000111110001011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011111000001110000000010000000000000000000000000000000000010000001000111110001011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011111000001110000000010000000000000000000000000000000000010000001000111110001011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111111000001110000000010000000000000000000000000000000000010000001000111110001011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111111000001110000000010000000000000000000000000000000000010000001000111110010011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111111000001110000000010000000000000000000000000000000000010000001000111110010011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111111000001110000000010000000000000000000000000000000000010000001000111110010011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000000100001110000000010000000000000000000000000000000000010000001000111110010011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000000100001110000000010000000000000000000000000000000000010000001000111110000011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000000100001110000000010000000000000000000000000000000000010000001000111110000011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000000100001110000000010000000000000000000000000000000000010000001000111110000011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100000100001110000000010000000000000000000000000000000000010000001000111110000011000010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100000100001110000000010000000000000000000000000000000000010000001000111110011101000010000000000000001000101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100000100001110000000010000000000000000000000000000000000010000001000111110011101000010000000000000001000101010100111110110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100000100001110000000010000000000000000000000000000000000010000001000111110011101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010000100001110000000010000000000000000000000000000000000010000001000111110011101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010000100001110000000010000000000000000000000000000000000010000001000111110001101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010000100001110000000010000000000000000000000000000000000010000001000111110001101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010000100001110000000010000000000000000000000000000000000010000001000111110001101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110000100001110000000010000000000000000000000000000000000010000001000111110001101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110000100001110000000010000000000000000000000000000000000010000001000111110010101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110000100001110000000010000000000000000000000000000000000010000001000111110010101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110000100001110000000010000000000000000000000000000000000010000001000111110010101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001000100001110000000010000000000000000000000000000000000010000001000111110010101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001000100001110000000010000000000000000000000000000000000010000001000111110000101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001000100001110000000010000000000000000000000000000000000010000001000111110000101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000001000100001110000000010000000000000000000000000000000000010000001000111110000101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101000100001110000000010000000000000000000000000000000000010000001000111110000101000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101000100001110000000010000000000000000000000000000000000010000001000111110011001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101000100001110000000010000000000000000000000000000000000010000001000111110011001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000101000100001110000000010000000000000000000000000000000000010000001000111110011001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011000100001110000000010000000000000000000000000000000000010000001000111110011001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011000100001110000000010000000000000000000000000000000000010000001000111110001001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011000100001110000000010000000000000000000000000000000000010000001000111110001001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000011000100001110000000010000000000000000000000000000000000010000001000111110001001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111000100001110000000010000000000000000000000000000000000010000001000111110001001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111000100001110000000010000000000000000000000000000000000010000001000111110010001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111000100001110000000010000000000000000000000000000000000010000001000111110010001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000111000100001110000000010000000000000000000000000000000000010000001000111110010001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000100100001110000000010000000000000000000000000000000000010000001000111110010001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000100100001110000000010000000000000000000000000000000000010000001000111110000001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000100100001110000000010000000000000000000000000000000000010000001000111110000001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000000100100001110000000010000000000000000000000000000000000010000001000111110000001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100100100001110000000010000000000000000000000000000000000010000001000111110000001000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100100100001110000000010000000000000000000000000000000000010000001000111110011110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100100100001110000000010000000000000000000000000000000000010000001000111110011110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000100100100001110000000010000000000000000000000000000000000010000001000111110011110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010100100001110000000010000000000000000000000000000000000010000001000111110011110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010100100001110000000010000000000000000000000000000000000010000001000111110001110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010100100001110000000010000000000000000000000000000000000010000001000111110001110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000010100100001110000000010000000000000000000000000000000000010000001000111110001110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110100100001110000000010000000000000000000000000000000000010000001000111110001110000010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001001110101000000001011000100001111000110100100001110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000110100100001110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000110100100001110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000001100100001110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000001100100001110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000001100100001110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000001100100001110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000101100100001110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000101100100001110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000101100100001110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000101100100001110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000011100100001110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000011100100001110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000011100100001110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000011100100001110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000111100100001110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000111100100001110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000111100100001110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000111100100001110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000001011000100001111000000010100001110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000010100001110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000010100001110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000010100001110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100010100001110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100010100001110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100010100001110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100010100001110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010010100001110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010010100001110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010010100001110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010010100001110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110010100001110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110010100001110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110010100001110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110010100001110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001010100001110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001010100001110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001010100001110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001010100001110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101010100001110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101010100001110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101010100001110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101010100001110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011010100001110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011010100001110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011010100001110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011010100001110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111010100001110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111010100001110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111010100001110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111010100001110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000110100001110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010010000000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010110100001110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010110100001110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010110100001110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010110100001110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110110100001110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110110100001110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110110100001110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110110100001110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001110100001110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001110100001110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001110100001110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001110100001110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101110100001110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101110100001110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101110100001110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101110100001110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011110100001110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011110100001110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011110100001110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011110100001110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111110100001110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111110100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111110100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111110100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110001100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000001001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000101001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000011001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000111001100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000000101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000100101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000010101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001001110101000000001011000100001111000110101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000110101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000110101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000001101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000001101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000001101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000001101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000101101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000101101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000101101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000101101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000011101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000011101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000011101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000011101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000111101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000111101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000111101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000111101100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000001011000100001111000000011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000000011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000000011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000000011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000110011100001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000110011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000110011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000110011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000001011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000001011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000001011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000001011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000101011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000101011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000101011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000101011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000011011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000011011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000011011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000011011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000111011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000111011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000111011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000111011100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000000111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000000111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000000111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000000111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000100111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000010111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000110111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001001110101000000001011000100001111000110111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000110111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000110111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000001111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000001111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000001111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000001111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000101111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000101111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000101111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000101111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000011111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000011111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000011111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000011111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000111111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000111111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000111111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000111111100001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000001011000100001111000000000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111000010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000001100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000101100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000011100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000111100010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000000010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000100010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000010010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001001110101000000001011000100001111000110010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000110010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000110010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000001010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000001010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000001010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000001010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000101010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000101010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000101010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000101010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000011010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000011010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000011010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000011010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000111010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000111010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000111010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000111010010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000001011000100001111000000110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111110010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111001010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110101010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000001101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000101101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000011101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000111101010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000000011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000100011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000010011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001001110101000000001011000100001111000110011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000110011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000110011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000001011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000001011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000001011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000001011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000101011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000101011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000101011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000101011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000011011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000011011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000011011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000011011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000111011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000111011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000111011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000111011010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000001011000100001111000000111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000000111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000000111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000000111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000110111010001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000110111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000110111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000110111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000001111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000001111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000001111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000001111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000101111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000101111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000101111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000101111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000011111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000011111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000011111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000011111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000111111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000111111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000111111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000111111010001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000000000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000000000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000000000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000000000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000100000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000010000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000110000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001001110101000000001011000100001111000110000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000110000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000110000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000001000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000001000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000001000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000001000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000101000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000101000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000101000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000101000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000011000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000011000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000011000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000011000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000111000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000111000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000111000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000111000110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000001011000100001111000000100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111100110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000001010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000101010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000011010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000111010110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000000110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000100110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000010110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001001110101000000001011000100001111000110110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000110110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000110110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000001110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000001110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000001110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000001110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000101110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000101110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000101110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000101110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000011110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000011110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000011110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000011110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000111110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000111110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000111110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000111110110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000001011000100001111000000001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111001110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111101110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110011110001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000001011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000101011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000011011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000111011110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000000111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000100111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000010111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001001110101000000001011000100001111000110111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000110111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000110111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000001111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000001111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000001111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000001111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000101111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000101111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000101111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000101111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000011111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000011111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000011111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000011111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000111111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000111111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000111111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000111111110001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000001011000100001111000000000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000000000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000000000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000000000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000110000001001110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000110000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000110000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000110000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000001000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000001000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000001000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000001000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000101000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000101000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000101000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000101000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000011000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000011000001001110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000011000001001110000000010000000000000000000000000000000000010000000000111110000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000011000001001110000000010000000000000000000000000000000000010000000000111110000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000111000001001110000000010000000000000000000000000000000000010000000000111110000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000111000001001110000000010000000000000000000000000000000000010000000000111110000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000111000001001110000000010000000000000000000000000000000000010000000000111110000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000111000001001110000000010000000000000000000000000000000000010000000000111110000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000000100001001110000000010000000000000000000000000000000000010000000000111110000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000000100001001110000000010000000000000000000000000000000000010000000000111110000000000010000000001000001010101010000000100110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000000100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000000100110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000000100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000100100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000010100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000110100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001001110101000000001011000100001111000110100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000110100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000110100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000001100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000001100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000001100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000001100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000101100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000101100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000101100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000101100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000011100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000011100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000011100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000011100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000111100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000111100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000111100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000111100001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000001011000100001111000000010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111010001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000001110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000101110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000011110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000111110001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000000001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000100001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000010001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001001110101000000001011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000110001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000001001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000101001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000011001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000111001001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000001011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111101001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111011001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000110110000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000001111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000101111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000011111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000111111001001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010000010000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010000010000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000000000101001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010111111110010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100000101001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000100000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000010000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001001110101000000001011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000110000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000001000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000101000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000011000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000111000101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000001011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010100101000010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010100101000010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000000100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000110100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000001100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000101100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000011100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000111100101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000000100110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000000100110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000000010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000100010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000010010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001001110101000000001011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000110010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000001010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000101010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000011010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000111010101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000001011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010000011110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111110101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010100111110110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000001001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000101001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000011001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000111001101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000000101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000100101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000010101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001001110101000000001011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000110101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000001101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000101101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000011101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000111101101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000001011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111011101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010010000000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011111101001110000000000000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111111101001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000001000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000110110000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000000000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000001000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000101000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000011000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000111000011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010000000100100000000000010000110010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010000010000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010000010000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000000100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010111111110010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000100100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000010100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010110101111000000000100000000001000000000010000000001001110101000000001011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000110100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000001100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000101100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000011100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000111100011001110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000001011000100001111000000000000101110000000010000000000000000000000000000000000010000001000111110000011010010000010001000001100101010100101000010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000000000000101110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010100101000010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000000000000101110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010100101000010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000000000000101110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100000000101110000000010000000000000000000000000000000000010000001000111110000011010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100000000101110000000010000000000000000000000000000000000010000001000111110011101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100000000101110000000010000000000000000000000000000000000010000001000111110011101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100000000101110000000010000000000000000000000000000000000010000001000111110011101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010000000101110000000010000000000000000000000000000000000010000001000111110011101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010000000101110000000010000000000000000000000000000000000010000001000111110001101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010000000101110000000010000000000000000000000000000000000010000001000111110001101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010000000101110000000010000000000000000000000000000000000010000001000111110001101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000110000000101110000000010000000000000000000000000000000000010000001000111110001101010010000010000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000110000000101110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000110000000101110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000110000000101110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000001000000101110000000010000000000000000000000000000000000010000001000111110010101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000001000000101110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000001000000101110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000001000000101110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000101000000101110000000010000000000000000000000000000000000010000001000111110000101010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000101000000101110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000101000000101110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000101000000101110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000011000000101110000000010000000000000000000000000000000000010000001000111110011001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000011000000101110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000011000000101110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000011000000101110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000111000000101110000000010000000000000000000000000000000000010000001000111110001001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000111000000101110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000111000000101110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000111000000101110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000000100000101110000000010000000000000000000000000000000000010000001000111110010001010010000000000000001010101010000000100010010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000000100000101110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001010101010000000100110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000000100000101110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001010101010000000100110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000000100000101110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100100000101110000000010000000000000000000000000000000000010000001000111110000001010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100100000101110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100100000101110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000100100000101110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010100000101110000000010000000000000000000000000000000000010000001000111110011110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010100000101110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010100000101110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000010100000101110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000110100000101110000000010000000000000000000000000000000000010000001000111110001110010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001101110101000000001011000100001111000110100000101110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000110100000101110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000110100000101110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000001100000101110000000010000000000000000000000000000000000010000001000111110010110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000001100000101110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000001100000101110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000001100000101110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000101100000101110000000010000000000000000000000000000000000010000001000111110000110010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000101100000101110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000101100000101110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000101100000101110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000011100000101110000000010000000000000000000000000000000000010000001000111110011010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000011100000101110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000011100000101110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000011100000101110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000111100000101110000000010000000000000000000000000000000000010000001000111110001010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000111100000101110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000111100000101110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000111100000101110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001101110101000000001011000100001111000000010000101110000000010000000000000000000000000000000000010000001000111110010010010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000010000101110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001110101010000011110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000010000101110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001110101010000011110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000010000101110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100010000101110000000010000000000000000000000000000000000010000001000111110000010010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100010000101110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100010000101110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100010000101110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010010000101110000000010000000000000000000000000000000000010000001000111110011100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010010000101110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010010000101110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010010000101110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110010000101110000000010000000000000000000000000000000000010000001000111110001100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110010000101110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110010000101110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110010000101110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001010000101110000000010000000000000000000000000000000000010000001000111110010100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001010000101110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001010000101110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001010000101110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101010000101110000000010000000000000000000000000000000000010000001000111110000100010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101010000101110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101010000101110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101010000101110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011010000101110000000010000000000000000000000000000000000010000001000111110011000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011010000101110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011010000101110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011010000101110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111010000101110000000010000000000000000000000000000000000010000001000111110001000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111010000101110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111010000101110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111010000101110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000110000101110000000010000000000000000000000000000000000010000001000111110010000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000110000101110000000010000000000000000000000000000000000010000001000111110000000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000110000101110000000010000000000000000000000000000000000010000001000111110000000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000110000101110000000010000000000000000000000000000000000010000001000111110000000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100110000101110000000010000000000000000000000000000000000010000001000111110000000010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100110000101110000000010000000000000000000000000000000000010000001000111110011111100010000000000000001000101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100110000101110000000010000000000000000000000000000000000010000001000111110011111100010000000000000001000101010100111110110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100110000101110000000010000000000000000000000000000000000010000001000111110011111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010110000101110000000010000000000000000000000000000000000010000001000111110011111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010110000101110000000010000000000000000000000000000000000010000001000111110001111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010110000101110000000010000000000000000000000000000000000010000001000111110001111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010110000101110000000010000000000000000000000000000000000010000001000111110001111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110110000101110000000010000000000000000000000000000000000010000001000111110001111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110110000101110000000010000000000000000000000000000000000010000001000111110010111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110110000101110000000010000000000000000000000000000000000010000001000111110010111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110110000101110000000010000000000000000000000000000000000010000001000111110010111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001110000101110000000010000000000000000000000000000000000010000001000111110010111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001110000101110000000010000000000000000000000000000000000010000001000111110000111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001110000101110000000010000000000000000000000000000000000010000001000111110000111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000001110000101110000000010000000000000000000000000000000000010000001000111110000111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101110000101110000000010000000000000000000000000000000000010000001000111110000111100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101110000101110000000010000000000000000000000000000000000010000001000111110011011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101110000101110000000010000000000000000000000000000000000010000001000111110011011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000101110000101110000000010000000000000000000000000000000000010000001000111110011011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011110000101110000000010000000000000000000000000000000000010000001000111110011011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011110000101110000000010000000000000000000000000000000000010000001000111110001011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011110000101110000000010000000000000000000000000000000000010000001000111110001011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000011110000101110000000010000000000000000000000000000000000010000001000111110001011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111110000101110000000010000000000000000000000000000000000010000001000111110001011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111110000101110000000010000000000000000000000000000000000010000001000111110010011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111110000101110000000010000000000000000000000000000000000010000001000111110010011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000111110000101110000000010000000000000000000000000000000000010000001000111110010011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000001000101110000000010000000000000000000000000000000000010000001000111110010011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000001000101110000000010000000000000000000000000000000000010000001000111110000011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000001000101110000000010000000000000000000000000000000000010000001000111110000011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000000001000101110000000010000000000000000000000000000000000010000001000111110000011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100001000101110000000010000000000000000000000000000000000010000001000111110000011100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100001000101110000000010000000000000000000000000000000000010000001000111110011101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100001000101110000000010000000000000000000000000000000000010000001000111110011101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000100001000101110000000010000000000000000000000000000000000010000001000111110011101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010001000101110000000010000000000000000000000000000000000010000001000111110011101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010001000101110000000010000000000000000000000000000000000010000001000111110001101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010001000101110000000010000000000000000000000000000000000010000001000111110001101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000010001000101110000000010000000000000000000000000000000000010000001000111110001101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110001000101110000000010000000000000000000000000000000000010000001000111110001101100010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001101110101000000001011000100001111000110001000101110000000010000000000000000000000000000000000010000001000111110010101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000110001000101110000000010000000000000000000000000000000000010000001000111110010101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000110001000101110000000010000000000000000000000000000000000010000001000111110010101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000001001000101110000000010000000000000000000000000000000000010000001000111110010101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000001001000101110000000010000000000000000000000000000000000010000001000111110000101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000001001000101110000000010000000000000000000000000000000000010000001000111110000101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000001001000101110000000010000000000000000000000000000000000010000001000111110000101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000101001000101110000000010000000000000000000000000000000000010000001000111110000101100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000101001000101110000000010000000000000000000000000000000000010000001000111110011001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000101001000101110000000010000000000000000000000000000000000010000001000111110011001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000101001000101110000000010000000000000000000000000000000000010000001000111110011001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000011001000101110000000010000000000000000000000000000000000010000001000111110011001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000011001000101110000000010000000000000000000000000000000000010000001000111110001001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000011001000101110000000010000000000000000000000000000000000010000001000111110001001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000011001000101110000000010000000000000000000000000000000000010000001000111110001001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000111001000101110000000010000000000000000000000000000000000010000001000111110001001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000111001000101110000000010000000000000000000000000000000000010000001000111110010001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000111001000101110000000010000000000000000000000000000000000010000001000111110010001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000111001000101110000000010000000000000000000000000000000000010000001000111110010001100010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001101110101000000001011000100001111000000101000101110000000010000000000000000000000000000000000010000001000111110010001100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000101000101110000000010000000000000000000000000000000000010000001000111110000001100010000000001000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000101000101110000000010000000000000000000000000000000000010000001000111110000001100010000000001000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000101000101110000000010000000000000000000000000000000000010000001000111110000001100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100101000101110000000010000000000000000000000000000000000010000001000111110000001100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100101000101110000000010000000000000000000000000000000000010000001000111110011110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100101000101110000000010000000000000000000000000000000000010000001000111110011110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100101000101110000000010000000000000000000000000000000000010000001000111110011110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010101000101110000000010000000000000000000000000000000000010000001000111110011110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010101000101110000000010000000000000000000000000000000000010000001000111110001110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010101000101110000000010000000000000000000000000000000000010000001000111110001110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010101000101110000000010000000000000000000000000000000000010000001000111110001110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110101000101110000000010000000000000000000000000000000000010000001000111110001110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110101000101110000000010000000000000000000000000000000000010000001000111110010110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110101000101110000000010000000000000000000000000000000000010000001000111110010110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110101000101110000000010000000000000000000000000000000000010000001000111110010110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001101000101110000000010000000000000000000000000000000000010000001000111110010110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001101000101110000000010000000000000000000000000000000000010000001000111110000110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001101000101110000000010000000000000000000000000000000000010000001000111110000110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001101000101110000000010000000000000000000000000000000000010000001000111110000110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101101000101110000000010000000000000000000000000000000000010000001000111110000110100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101101000101110000000010000000000000000000000000000000000010000001000111110011010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101101000101110000000010000000000000000000000000000000000010000001000111110011010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101101000101110000000010000000000000000000000000000000000010000001000111110011010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011101000101110000000010000000000000000000000000000000000010000001000111110011010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011101000101110000000010000000000000000000000000000000000010000001000111110001010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011101000101110000000010000000000000000000000000000000000010000001000111110001010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011101000101110000000010000000000000000000000000000000000010000001000111110001010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111101000101110000000010000000000000000000000000000000000010000001000111110001010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111101000101110000000010000000000000000000000000000000000010000001000111110010010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111101000101110000000010000000000000000000000000000000000010000001000111110010010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111101000101110000000010000000000000000000000000000000000010000001000111110010010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000011000101110000000010000000000000000000000000000000000010000001000111110010010100010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000011000101110000000010000000000000000000000000000000000010000001000111110000010100010000000000000001100101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000011000101110000000010000000000000000000000000000000000010000001000111110000010100010000000000000001100101010010000000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000011000101110000000010000000000000000000000000000000000010000001000111110000010100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100011000101110000000010000000000000000000000000000000000010000001000111110000010100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100011000101110000000010000000000000000000000000000000000010000001000111110011100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100011000101110000000010000000000000000000000000000000000010000001000111110011100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100011000101110000000010000000000000000000000000000000000010000001000111110011100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010011000101110000000010000000000000000000000000000000000010000001000111110011100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010011000101110000000010000000000000000000000000000000000010000001000111110001100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010011000101110000000010000000000000000000000000000000000010000001000111110001100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010011000101110000000010000000000000000000000000000000000010000001000111110001100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110011000101110000000010000000000000000000000000000000000010000001000111110001100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110011000101110000000010000000000000000000000000000000000010000001000111110010100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110011000101110000000010000000000000000000000000000000000010000001000111110010100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110011000101110000000010000000000000000000000000000000000010000001000111110010100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001011000101110000000010000000000000000000000000000000000010000001000111110010100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001011000101110000000010000000000000000000000000000000000010000001000111110000100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001011000101110000000010000000000000000000000000000000000010000001000111110000100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001011000101110000000010000000000000000000000000000000000010000001000111110000100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101011000101110000000010000000000000000000000000000000000010000001000111110000100100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101011000101110000000010000000000000000000000000000000000010000001000111110011000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101011000101110000000010000000000000000000000000000000000010000001000111110011000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101011000101110000000010000000000000000000000000000000000010000001000111110011000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011011000101110000000010000000000000000000000000000000000010000001000111110011000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011011000101110000000010000000000000000000000000000000000010000001000111110001000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011011000101110000000010000000000000000000000000000000000010000001000111110001000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011011000101110000000010000000000000000000000000000000000010000001000111110001000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111011000101110000000010000000000000000000000000000000000010000001000111110001000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111011000101110000000010000000000000000000000000000000000010000001000111110010000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111011000101110000000010000000000000000000000000000000000010000001000111110010000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111011000101110000000010000000000000000000000000000000000010000001000111110010000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000111000101110000000010000000000000000000000000000000000010000001000111110010000100010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000111000101110000000010000000000000000000000000000000000010000001000111110000000100010000000000000100100000000000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000111000101110000000010000000000000000000000000000000000010000001000111110000000100010000000000000100100000000000001000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000111000101110000000010000000000000000000000000000000000010000001000111110000000100010000000000000100100000000110110000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100111000101110000000010000000000000000000000000000000000010000001000111110000000100010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100111000101110000000010000000000000000000000000000000000010000001000111110011111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100111000101110000000010000000000000000000000000000000000010000001000111110011111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100111000101110000000010000000000000000000000000000000000010000001000111110011111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010111000101110000000010000000000000000000000000000000000010000001000111110011111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010111000101110000000010000000000000000000000000000000000010000001000111110001111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010111000101110000000010000000000000000000000000000000000010000001000111110001111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010111000101110000000010000000000000000000000000000000000010000001000111110001111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110111000101110000000010000000000000000000000000000000000010000001000111110001111000010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110111000101110000000010000000000000000000000000000000000010000001000111110010111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110111000101110000000010000000000000000000000000000000000010000001000111110010111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110111000101110000000010000000000000000000000000000000000010000001000111110010111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001111000101110000000010000000000000000000000000000000000010000001000111110010111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001111000101110000000010000000000000000000000000000000000010000001000111110000111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001111000101110000000010000000000000000000000000000000000010000001000111110000111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000001111000101110000000010000000000000000000000000000000000010000001000111110000111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101111000101110000000010000000000000000000000000000000000010000001000111110000111000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101111000101110000000010000000000000000000000000000000000010000001000111110011011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101111000101110000000010000000000000000000000000000000000010000001000111110011011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000101111000101110000000010000000000000000000000000000000000010000001000111110011011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011111000101110000000010000000000000000000000000000000000010000001000111110011011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011111000101110000000010000000000000000000000000000000000010000001000111110001011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011111000101110000000010000000000000000000000000000000000010000001000111110001011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000011111000101110000000010000000000000000000000000000000000010000001000111110001011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111111000101110000000010000000000000000000000000000000000010000001000111110001011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111111000101110000000010000000000000000000000000000000000010000001000111110010011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111111000101110000000010000000000000000000000000000000000010000001000111110010011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000111111000101110000000010000000000000000000000000000000000010000001000111110010011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000000100101110000000010000000000000000000000000000000000010000001000111110010011000010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000000100101110000000010000000000000000000000000000000000010000001000111110000011000010000010001000001100101010000010000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000000100101110000000010000000000000000000000000000000000010000001000111110000011000010000010001000001100101010000010000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000000000100101110000000010000000000000000000000000000000000010000001000111110000011000010000010001000001100101010111111110010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100000100101110000000010000000000000000000000000000000000010000001000111110000011000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100000100101110000000010000000000000000000000000000000000010000001000111110011101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100000100101110000000010000000000000000000000000000000000010000001000111110011101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000100000100101110000000010000000000000000000000000000000000010000001000111110011101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010000100101110000000010000000000000000000000000000000000010000001000111110011101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010000100101110000000010000000000000000000000000000000000010000001000111110001101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010000100101110000000010000000000000000000000000000000000010000001000111110001101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000010000100101110000000010000000000000000000000000000000000010000001000111110001101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110000100101110000000010000000000000000000000000000000000010000001000111110001101000010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001101110101000000001011000100001111000110000100101110000000010000000000000000000000000000000000010000001000111110010101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000110000100101110000000010000000000000000000000000000000000010000001000111110010101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000110000100101110000000010000000000000000000000000000000000010000001000111110010101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000001000100101110000000010000000000000000000000000000000000010000001000111110010101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000001000100101110000000010000000000000000000000000000000000010000001000111110000101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000001000100101110000000010000000000000000000000000000000000010000001000111110000101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000001000100101110000000010000000000000000000000000000000000010000001000111110000101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000101000100101110000000010000000000000000000000000000000000010000001000111110000101000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000101000100101110000000010000000000000000000000000000000000010000001000111110011001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000101000100101110000000010000000000000000000000000000000000010000001000111110011001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000101000100101110000000010000000000000000000000000000000000010000001000111110011001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000011000100101110000000010000000000000000000000000000000000010000001000111110011001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000011000100101110000000010000000000000000000000000000000000010000001000111110001001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000011000100101110000000010000000000000000000000000000000000010000001000111110001001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000011000100101110000000010000000000000000000000000000000000010000001000111110001001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000111000100101110000000010000000000000000000000000000000000010000001000111110001001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000111000100101110000000010000000000000000000000000000000000010000001000111110010001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000111000100101110000000010000000000000000000000000000000000010000001000111110010001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000111000100101110000000010000000000000000000000000000000000010000001000111110010001000010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001101110101000000001011000100001111000000100100101110000000010000000000000000000000000000000000010000001000111110010001000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000000100100101110000000010000000000000000000000000000000000010000001000111110000001000010000010000000001010101010100101000010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000000100100101110000000010000000000000000000000000000000000010000001000111110000001000010000010000000001010101010100101000010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000000100100101110000000010000000000000000000000000000000000010000001000111110000001000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100100100101110000000010000000000000000000000000000000000010000001000111110000001000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100100100101110000000010000000000000000000000000000000000010000001000111110011110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100100100101110000000010000000000000000000000000000000000010000001000111110011110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100100100101110000000010000000000000000000000000000000000010000001000111110011110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010100100101110000000010000000000000000000000000000000000010000001000111110011110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010100100101110000000010000000000000000000000000000000000010000001000111110001110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010100100101110000000010000000000000000000000000000000000010000001000111110001110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010100100101110000000010000000000000000000000000000000000010000001000111110001110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000110100100101110000000010000000000000000000000000000000000010000001000111110001110000010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000110100100101110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000110100100101110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000110100100101110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000001100100101110000000010000000000000000000000000000000000010000001000111110010110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000001100100101110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000001100100101110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000001100100101110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000101100100101110000000010000000000000000000000000000000000010000001000111110000110000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000101100100101110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000101100100101110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000101100100101110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000011100100101110000000010000000000000000000000000000000000010000001000111110011010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000011100100101110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000011100100101110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000011100100101110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000111100100101110000000010000000000000000000000000000000000010000001000111110001010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000111100100101110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000111100100101110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000111100100101110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000000010100101110000000010000000000000000000000000000000000010000001000111110010010000010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000000010100101110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001010101010000000100110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000000010100101110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001010101010000000100110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000000010100101110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100010100101110000000010000000000000000000000000000000000010000001000111110000010000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100010100101110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100010100101110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000100010100101110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010010100101110000000010000000000000000000000000000000000010000001000111110011100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010010100101110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010010100101110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000010010100101110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000110010100101110000000010000000000000000000000000000000000010000001000111110001100000010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001101110101000000001011000100001111000110010100101110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000110010100101110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000110010100101110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000001010100101110000000010000000000000000000000000000000000010000001000111110010100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000001010100101110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000001010100101110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000001010100101110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000101010100101110000000010000000000000000000000000000000000010000001000111110000100000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000101010100101110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000101010100101110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000101010100101110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000011010100101110000000010000000000000000000000000000000000010000001000111110011000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000011010100101110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000011010100101110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000011010100101110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000111010100101110000000010000000000000000000000000000000000010000001000111110001000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000111010100101110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000111010100101110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000111010100101110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001101110101000000001011000100001111000000110100101110000000010000000000000000000000000000000000010000001000111110010000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010000011110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010000011110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010110100101110000000010000000000000000000000000000000000010000001000111110000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010110100101110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010110100101110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010110100101110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110110100101110000000010000000000000000000000000000000000010000010001111100000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110110100101110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110110100101110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110110100101110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001110100101110000000010000000000000000000000000000000000010000100001111000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001110100101110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001110100101110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001110100101110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101110100101110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101110100101110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101110100101110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101110100101110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011110100101110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011110100101110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011110100101110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011110100101110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111110100101110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111110100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111110100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111110100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001101110101000000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001101110101000000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001101110101000000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001101110101000000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001101110101000000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001101110101000000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001101110101000000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001101110101000000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001101110101000000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001101110101000000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001101110101000000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001101110101000000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001101110101000000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001101110101000000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000100001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000100001101110000000010000000000000000000000000000000000010000000000011100000000000010000000000000001100101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010010000000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000110110000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010000010000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010000010000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010111111110010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001101110101000000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001101110101000000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010100101000010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010100101000010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001101110101000000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001101110101000000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010000011110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010000011110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100000101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000100000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001101110101000000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001101110101000000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010010000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000110110000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010000000000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010111111110110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000100000000001000000000010000000001101110101000000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000100000000001000000000010000000001101110101000000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010100101000110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010100101000110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000100000000001000000000010000000001101110101000000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001101110101000000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010000011110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010000011110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000000000000000000000000001001110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001001110101001000001011000100001111000000000000101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000000000101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000000000101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000000000101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100000000101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100000000101110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100000000101110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100000000101110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010000000101110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010000000101110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010000000101110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010000000101110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110000000101110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110000000101110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110000000101110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110000000101110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001000000101110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001000000101110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001000000101110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001000000101110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101000000101110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101000000101110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101000000101110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101000000101110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011000000101110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011000000101110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011000000101110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011000000101110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111000000101110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111000000101110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111000000101110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111000000101110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000100000101110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001000101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000100000101110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001100101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000100000101110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001100101010010000000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000100000101110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100100000101110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100100000101110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100100000101110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100100000101110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010100000101110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010100000101110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010100000101110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010100000101110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110100000101110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110100000101110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110100000101110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110100000101110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001100000101110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001100000101110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001100000101110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001100000101110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101100000101110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101100000101110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101100000101110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101100000101110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011100000101110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011100000101110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011100000101110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011100000101110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111100000101110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111100000101110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111100000101110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111100000101110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000010000101110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001100101010000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000010000101110000000010000000000000000000000000000000000010000010000011100000010010010000000000000100100000000000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000010000101110000000010000000000000000000000000000000000010000010000011100000010010010000000000000100100000000000001000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000010000101110000000010000000000000000000000000000000000010000010000011100000010010010000000000000100100000000110110000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100010000101110000000010000000000000000000000000000000000010000010000011100000010010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100010000101110000000010000000000000000000000000000000000010000010000011100011100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100010000101110000000010000000000000000000000000000000000010000010000011100011100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100010000101110000000010000000000000000000000000000000000010000010000011100011100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010010000101110000000010000000000000000000000000000000000010000010000011100011100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010010000101110000000010000000000000000000000000000000000010000010000011100001100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010010000101110000000010000000000000000000000000000000000010000010000011100001100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010010000101110000000010000000000000000000000000000000000010000010000011100001100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110010000101110000000010000000000000000000000000000000000010000010000011100001100010010000000000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110010000101110000000010000000000000000000000000000000000010000010000011100010100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110010000101110000000010000000000000000000000000000000000010000010000011100010100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110010000101110000000010000000000000000000000000000000000010000010000011100010100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001010000101110000000010000000000000000000000000000000000010000010000011100010100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001010000101110000000010000000000000000000000000000000000010000010000011100000100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001010000101110000000010000000000000000000000000000000000010000010000011100000100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000001010000101110000000010000000000000000000000000000000000010000010000011100000100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101010000101110000000010000000000000000000000000000000000010000010000011100000100010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101010000101110000000010000000000000000000000000000000000010000010000011100011000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101010000101110000000010000000000000000000000000000000000010000010000011100011000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000101010000101110000000010000000000000000000000000000000000010000010000011100011000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011010000101110000000010000000000000000000000000000000000010000010000011100011000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011010000101110000000010000000000000000000000000000000000010000010000011100001000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011010000101110000000010000000000000000000000000000000000010000010000011100001000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000011010000101110000000010000000000000000000000000000000000010000010000011100001000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111010000101110000000010000000000000000000000000000000000010000010000011100001000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111010000101110000000010000000000000000000000000000000000010000010000011100010000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111010000101110000000010000000000000000000000000000000000010000010000011100010000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000111010000101110000000010000000000000000000000000000000000010000010000011100010000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000110000101110000000010000000000000000000000000000000000010000010000011100010000010010000010000000100100000000000010000110010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000110000101110000000010000000000000000000000000000000000010000010000011100000000010010000010001000001100101010000010000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000110000101110000000010000000000000000000000000000000000010000010000011100000000010010000010001000001100101010000010000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000000110000101110000000010000000000000000000000000000000000010000010000011100000000010010000010001000001100101010111111110010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100110000101110000000010000000000000000000000000000000000010000010000011100000000010010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100110000101110000000010000000000000000000000000000000000010000010000011100011111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100110000101110000000010000000000000000000000000000000000010000010000011100011111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000100110000101110000000010000000000000000000000000000000000010000010000011100011111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010110000101110000000010000000000000000000000000000000000010000010000011100011111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010110000101110000000010000000000000000000000000000000000010000010000011100001111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010110000101110000000010000000000000000000000000000000000010000010000011100001111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000010110000101110000000010000000000000000000000000000000000010000010000011100001111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110110000101110000000010000000000000000000000000000000000010000010000011100001111100010000010001000001100101010100101000010010010110101111000000000000000000000000000000000000000001001110101001000001011000100001111000110110000101110000000010000000000000000000000000000000000010000010000011100010111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000110110000101110000000010000000000000000000000000000000000010000010000011100010111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000110110000101110000000010000000000000000000000000000000000010000010000011100010111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000001110000101110000000010000000000000000000000000000000000010000010000011100010111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000001110000101110000000010000000000000000000000000000000000010000010000011100000111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000001110000101110000000010000000000000000000000000000000000010000010000011100000111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000001110000101110000000010000000000000000000000000000000000010000010000011100000111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000101110000101110000000010000000000000000000000000000000000010000010000011100000111100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000101110000101110000000010000000000000000000000000000000000010000010000011100011011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000101110000101110000000010000000000000000000000000000000000010000010000011100011011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000101110000101110000000010000000000000000000000000000000000010000010000011100011011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000011110000101110000000010000000000000000000000000000000000010000010000011100011011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000011110000101110000000010000000000000000000000000000000000010000010000011100001011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000011110000101110000000010000000000000000000000000000000000010000010000011100001011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000011110000101110000000010000000000000000000000000000000000010000010000011100001011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000111110000101110000000010000000000000000000000000000000000010000010000011100001011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000111110000101110000000010000000000000000000000000000000000010000010000011100010011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000111110000101110000000010000000000000000000000000000000000010000010000011100010011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000111110000101110000000010000000000000000000000000000000000010000010000011100010011100010000010001000001100101010100101000010010010010100111000000000000000000000000000000000000000001001110101001000001011000100001111000000001000101110000000010000000000000000000000000000000000010000010000011100010011100010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000000001000101110000000010000000000000000000000000000000000010000010000011100000011100010000010000000001010101010100101000010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000000001000101110000000010000000000000000000000000000000000010000010000011100000011100010000010000000001010101010100101000010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000000001000101110000000010000000000000000000000000000000000010000010000011100000011100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100001000101110000000010000000000000000000000000000000000010000010000011100000011100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100001000101110000000010000000000000000000000000000000000010000010000011100011101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100001000101110000000010000000000000000000000000000000000010000010000011100011101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100001000101110000000010000000000000000000000000000000000010000010000011100011101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010001000101110000000010000000000000000000000000000000000010000010000011100011101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010001000101110000000010000000000000000000000000000000000010000010000011100001101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010001000101110000000010000000000000000000000000000000000010000010000011100001101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010001000101110000000010000000000000000000000000000000000010000010000011100001101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000110001000101110000000010000000000000000000000000000000000010000010000011100001101100010000010000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000110001000101110000000010000000000000000000000000000000000010000010000011100010101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000110001000101110000000010000000000000000000000000000000000010000010000011100010101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000110001000101110000000010000000000000000000000000000000000010000010000011100010101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000001001000101110000000010000000000000000000000000000000000010000010000011100010101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000001001000101110000000010000000000000000000000000000000000010000010000011100000101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000001001000101110000000010000000000000000000000000000000000010000010000011100000101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000001001000101110000000010000000000000000000000000000000000010000010000011100000101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000101001000101110000000010000000000000000000000000000000000010000010000011100000101100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000101001000101110000000010000000000000000000000000000000000010000010000011100011001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000101001000101110000000010000000000000000000000000000000000010000010000011100011001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000101001000101110000000010000000000000000000000000000000000010000010000011100011001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000011001000101110000000010000000000000000000000000000000000010000010000011100011001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000011001000101110000000010000000000000000000000000000000000010000010000011100001001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000011001000101110000000010000000000000000000000000000000000010000010000011100001001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000011001000101110000000010000000000000000000000000000000000010000010000011100001001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000111001000101110000000010000000000000000000000000000000000010000010000011100001001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000111001000101110000000010000000000000000000000000000000000010000010000011100010001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000111001000101110000000010000000000000000000000000000000000010000010000011100010001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000111001000101110000000010000000000000000000000000000000000010000010000011100010001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000000101000101110000000010000000000000000000000000000000000010000010000011100010001100010000000000000001010101010000000100010010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000000101000101110000000010000000000000000000000000000000000010000010000011100000001100010000000001000001010101010000000100110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000000101000101110000000010000000000000000000000000000000000010000010000011100000001100010000000001000001010101010000000100110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000000101000101110000000010000000000000000000000000000000000010000010000011100000001100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100101000101110000000010000000000000000000000000000000000010000010000011100000001100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100101000101110000000010000000000000000000000000000000000010000010000011100011110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100101000101110000000010000000000000000000000000000000000010000010000011100011110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000100101000101110000000010000000000000000000000000000000000010000010000011100011110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010101000101110000000010000000000000000000000000000000000010000010000011100011110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010101000101110000000010000000000000000000000000000000000010000010000011100001110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010101000101110000000010000000000000000000000000000000000010000010000011100001110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000010101000101110000000010000000000000000000000000000000000010000010000011100001110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000110101000101110000000010000000000000000000000000000000000010000010000011100001110100010000000001000001010101010000011110110010010010100101000000000100000000000000000000000000000001001110101001000001011000100001111000110101000101110000000010000000000000000000000000000000000010000010000011100010110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000110101000101110000000010000000000000000000000000000000000010000010000011100010110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000110101000101110000000010000000000000000000000000000000000010000010000011100010110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000001101000101110000000010000000000000000000000000000000000010000010000011100010110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000001101000101110000000010000000000000000000000000000000000010000010000011100000110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000001101000101110000000010000000000000000000000000000000000010000010000011100000110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000001101000101110000000010000000000000000000000000000000000010000010000011100000110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000101101000101110000000010000000000000000000000000000000000010000010000011100000110100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000101101000101110000000010000000000000000000000000000000000010000010000011100011010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000101101000101110000000010000000000000000000000000000000000010000010000011100011010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000101101000101110000000010000000000000000000000000000000000010000010000011100011010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000011101000101110000000010000000000000000000000000000000000010000010000011100011010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000011101000101110000000010000000000000000000000000000000000010000010000011100001010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000011101000101110000000010000000000000000000000000000000000010000010000011100001010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000011101000101110000000010000000000000000000000000000000000010000010000011100001010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000111101000101110000000010000000000000000000000000000000000010000010000011100001010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000111101000101110000000010000000000000000000000000000000000010000010000011100010010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000111101000101110000000010000000000000000000000000000000000010000010000011100010010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000111101000101110000000010000000000000000000000000000000000010000010000011100010010100010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001001110101001000001011000100001111000000011000101110000000010000000000000000000000000000000000010000010000011100010010100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000011000101110000000010000000000000000000000000000000000010000010000011100000010100010000000000000001110101010000011110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000011000101110000000010000000000000000000000000000000000010000010000011100000010100010000000000000001110101010000011110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000011000101110000000010000000000000000000000000000000000010000010000011100000010100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100011000101110000000010000000000000000000000000000000000010000010000011100000010100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100011000101110000000010000000000000000000000000000000000010000010000011100011100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100011000101110000000010000000000000000000000000000000000010000010000011100011100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100011000101110000000010000000000000000000000000000000000010000010000011100011100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010011000101110000000010000000000000000000000000000000000010000010000011100011100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010011000101110000000010000000000000000000000000000000000010000010000011100001100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010011000101110000000010000000000000000000000000000000000010000010000011100001100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010011000101110000000010000000000000000000000000000000000010000010000011100001100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110011000101110000000010000000000000000000000000000000000010000010000011100001100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110011000101110000000010000000000000000000000000000000000010000010000011100010100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110011000101110000000010000000000000000000000000000000000010000010000011100010100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110011000101110000000010000000000000000000000000000000000010000010000011100010100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001011000101110000000010000000000000000000000000000000000010000010000011100010100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001011000101110000000010000000000000000000000000000000000010000010000011100000100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001011000101110000000010000000000000000000000000000000000010000010000011100000100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001011000101110000000010000000000000000000000000000000000010000010000011100000100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101011000101110000000010000000000000000000000000000000000010000010000011100000100100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101011000101110000000010000000000000000000000000000000000010000010000011100011000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101011000101110000000010000000000000000000000000000000000010000010000011100011000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101011000101110000000010000000000000000000000000000000000010000010000011100011000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011011000101110000000010000000000000000000000000000000000010000010000011100011000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011011000101110000000010000000000000000000000000000000000010000010000011100001000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011011000101110000000010000000000000000000000000000000000010000010000011100001000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011011000101110000000010000000000000000000000000000000000010000010000011100001000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111011000101110000000010000000000000000000000000000000000010000010000011100001000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111011000101110000000010000000000000000000000000000000000010000010000011100010000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111011000101110000000010000000000000000000000000000000000010000010000011100010000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111011000101110000000010000000000000000000000000000000000010000010000011100010000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000111000101110000000010000000000000000000000000000000000010000010000011100010000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000111000101110000000010000000000000000000000000000000000010000010000011100000000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000111000101110000000010000000000000000000000000000000000010000010000011100000000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000111000101110000000010000000000000000000000000000000000010000010000011100000000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100111000101110000000010000000000000000000000000000000000010000010000011100000000100010000000000000001110101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100111000101110000000010000000000000000000000000000000000010000010000011100011111000010000000000000001000101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100111000101110000000010000000000000000000000000000000000010000010000011100011111000010000000000000001000101010100111110110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100111000101110000000010000000000000000000000000000000000010000010000011100011111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010111000101110000000010000000000000000000000000000000000010000010000011100011111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010111000101110000000010000000000000000000000000000000000010000010000011100001111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010111000101110000000010000000000000000000000000000000000010000010000011100001111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010111000101110000000010000000000000000000000000000000000010000010000011100001111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110111000101110000000010000000000000000000000000000000000010000010000011100001111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110111000101110000000010000000000000000000000000000000000010000010000011100010111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110111000101110000000010000000000000000000000000000000000010000010000011100010111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110111000101110000000010000000000000000000000000000000000010000010000011100010111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001111000101110000000010000000000000000000000000000000000010000010000011100010111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001111000101110000000010000000000000000000000000000000000010000010000011100000111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001111000101110000000010000000000000000000000000000000000010000010000011100000111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000001111000101110000000010000000000000000000000000000000000010000010000011100000111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101111000101110000000010000000000000000000000000000000000010000010000011100000111000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101111000101110000000010000000000000000000000000000000000010000010000011100011011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101111000101110000000010000000000000000000000000000000000010000010000011100011011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000101111000101110000000010000000000000000000000000000000000010000010000011100011011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011111000101110000000010000000000000000000000000000000000010000010000011100011011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011111000101110000000010000000000000000000000000000000000010000010000011100001011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011111000101110000000010000000000000000000000000000000000010000010000011100001011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000011111000101110000000010000000000000000000000000000000000010000010000011100001011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111111000101110000000010000000000000000000000000000000000010000010000011100001011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111111000101110000000010000000000000000000000000000000000010000010000011100010011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111111000101110000000010000000000000000000000000000000000010000010000011100010011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000111111000101110000000010000000000000000000000000000000000010000010000011100010011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000000100101110000000010000000000000000000000000000000000010000010000011100010011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000000100101110000000010000000000000000000000000000000000010000010000011100000011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000000100101110000000010000000000000000000000000000000000010000010000011100000011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000000000100101110000000010000000000000000000000000000000000010000010000011100000011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100000100101110000000010000000000000000000000000000000000010000010000011100000011000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100000100101110000000010000000000000000000000000000000000010000010000011100011101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100000100101110000000010000000000000000000000000000000000010000010000011100011101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000100000100101110000000010000000000000000000000000000000000010000010000011100011101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010000100101110000000010000000000000000000000000000000000010000010000011100011101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010000100101110000000010000000000000000000000000000000000010000010000011100001101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010000100101110000000010000000000000000000000000000000000010000010000011100001101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000010000100101110000000010000000000000000000000000000000000010000010000011100001101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110000100101110000000010000000000000000000000000000000000010000010000011100001101000010000000000000001000101010101101010110010000001111111000000000100000000000000000000000000000001001110101001000001011000100001111000110000100101110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000110000100101110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000110000100101110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000001000100101110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000001000100101110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000001000100101110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000001000100101110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000101000100101110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000101000100101110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000101000100101110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000101000100101110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000011000100101110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000011000100101110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000011000100101110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000011000100101110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000111000100101110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000111000100101110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000111000100101110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000111000100101110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001001110101001000001011000100001111000000100100101110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000100100101110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000100100101110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000100100101110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100100100101110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100100100101110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100100100101110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100100100101110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010100100101110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010100100101110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010100100101110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010100100101110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110100100101110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110100100101110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110100100101110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110100100101110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001100100101110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001100100101110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001100100101110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001100100101110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101100100101110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101100100101110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101100100101110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101100100101110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011100100101110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011100100101110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011100100101110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011100100101110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111100100101110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111100100101110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111100100101110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111100100101110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000010100101110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001000101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000010100101110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001100101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000010100101110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001100101010010000000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000010100101110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100010100101110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100010100101110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100010100101110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100010100101110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010010100101110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010010100101110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010010100101110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010010100101110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110010100101110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110010100101110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110010100101110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110010100101110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001010100101110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001010100101110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001010100101110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001010100101110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101010100101110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101010100101110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101010100101110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101010100101110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011010100101110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011010100101110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011010100101110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011010100101110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111010100101110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111010100101110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111010100101110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111010100101110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000110100101110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000000001000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000110110000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010110100101110000000010000000000000000000000000000000000010000010000011100000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010110100101110000000010000000000000000000000000000000000010000100000111000000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010110100101110000000010000000000000000000000000000000000010000100000111000000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010110100101110000000010000000000000000000000000000000000010000100000111000000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110110100101110000000010000000000000000000000000000000000010000100000111000000000000010000000000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110110100101110000000010000000000000000000000000000000000010001000001110000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110110100101110000000010000000000000000000000000000000000010001000001110000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110110100101110000000010000000000000000000000000000000000010001000001110000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001110100101110000000010000000000000000000000000000000000010001000001110000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001110100101110000000010000000000000000000000000000000000010010000001100000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001110100101110000000010000000000000000000000000000000000010010000001100000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000001110100101110000000010000000000000000000000000000000000010010000001100000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101110100101110000000010000000000000000000000000000000000010010000001100000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101110100101110000000010000000000000000000000000000000000010100000001000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101110100101110000000010000000000000000000000000000000000010100000001000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000101110100101110000000010000000000000000000000000000000000010100000001000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011110100101110000000010000000000000000000000000000000000010100000001000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011110100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011110100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000011110100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111110100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111110100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111110100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000111110100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000000001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000100001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000010001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000001000000000000000000001001110101001000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000110001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000001001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000101001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000011001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000111001100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001001110101001000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000000101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000110101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000001101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000101101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000011101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000111101100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000000011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000100011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000010011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000001000000000000000000001001110101001000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000110011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000001011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000101011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000011011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000111011100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001001110101001000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111111100101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000001000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000101000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000011000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000111000010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000000100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000100100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000010100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000001000000000000000000001001110101001000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000110100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000001100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000101100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000011100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000111100010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001001110101001000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111010010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111110010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000001001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000101001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000011001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000111001010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000000101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000100101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000010101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000001000000000000000000001001110101001000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000110101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000001101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000101101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000011101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000111101010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001001110101001000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000000011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000110011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000001011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000101011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000011011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000111011010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000000111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000100111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000010111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000000000000000010000000001001110101001000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000110111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000001111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000101111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000011111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000111111010101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001001110101001000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111000110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000001100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000101100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000011100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000111100110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000000010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000100010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000010010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000000000000000010000000001001110101001000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000110010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000001010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000101010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000011010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000111010110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001001110101001000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111110110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111001110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000001101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000101101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000011101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000111101110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000000011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000100011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000010011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000000000000000010000000001001110101001000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000110011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000001011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000101011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000011011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000111011110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001001110101001000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000000111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000110111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000001111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000101111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000011111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000111111110101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000000000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000100000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000010000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000000000000000010000000001001110101001000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000110000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000001000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000101000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000011000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000111000001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001001110101001000001011000100001111000000100001101110000000010000000000000000000000000000000000010000000000011100000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000100001101110000000010000000000000000000000000000000000010000000000011100000000000010000000000000001110101010000011110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010000011110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111100001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000001010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000101010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000011010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000111010001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000000110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000100110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000010110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111101000000000000000000001000000000010000000001001110101001000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000110110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000001110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000101110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000011110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000111110001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001001110101001000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111001001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010010000000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111101001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000110110000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000001011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000101011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000011011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000111011001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000100100000000000010000110010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010000010000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010000010000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000000111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010111111110010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000100111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000010111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010110101111000000000000000000001000000000010000000001001110101001000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000110111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000001111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000101111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000011111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000111111001101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001001110101001000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010100101000010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010100101000010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000000000101101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100000101101110000000010000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000010000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000110000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000001000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000101000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000011000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000111000101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100010010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000000100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000100100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000010100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100101000000000100000000001000000000010000000001001110101001000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000110100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000001100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000101100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000011100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000111100101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001001110101001000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010000011110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010000011110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111010101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001110101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010100111110110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000001110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000101110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000011110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000111110101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000000001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000100001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000010001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010000001111111000000000100000000001000000000010000000001001110101001000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000110001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000001001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000101001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000011001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000111001101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001001110101001000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001000101010101101010110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010101101010110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111101101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000001000001000101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010010000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111011101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000001100101010000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000001000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000110110000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000001111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000101111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011111101101110000000000000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000000000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000011111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000111111101101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000100100000000000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010000010000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000000000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010111111110110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000100000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000010000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010110101101000000000000000000000000000000000000000001101110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000110000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000001000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000101000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000011000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000111000011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001101110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001100101010100101000110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010100101000110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010100101000110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000000100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000110100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000001100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000101100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000011100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000111100011101110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000000000000011110000000010000000000000000000000000000000000010000010000011100000011010010000000000000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000000000000011110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000000000000011110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000000100110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000000000000011110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100000000011110000000010000000000000000000000000000000000010000010000011100000011010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100000000011110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100000000011110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000100000000011110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010000000011110000000010000000000000000000000000000000000010000010000011100011101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010000000011110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010000000011110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000010000000011110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000110000000011110000000010000000000000000000000000000000000010000010000011100001101010010000000001000001010101010000011110110010010010100111000000000000000000000000000000000000000001101110101001000001011000100001111000110000000011110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000110000000011110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000110000000011110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000001000000011110000000010000000000000000000000000000000000010000010000011100010101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000001000000011110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000001000000011110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000001000000011110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000101000000011110000000010000000000000000000000000000000000010000010000011100000101010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000101000000011110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000101000000011110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000101000000011110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000011000000011110000000010000000000000000000000000000000000010000010000011100011001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000011000000011110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000011000000011110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000011000000011110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000111000000011110000000010000000000000000000000000000000000010000010000011100001001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000111000000011110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000111000000011110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000111000000011110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001101110101001000001011000100001111000000100000011110000000010000000000000000000000000000000000010000010000011100010001010010000000001000001010101010000011110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000100000011110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001110101010000011110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000100000011110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001110101010000011110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000100000011110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100100000011110000000010000000000000000000000000000000000010000010000011100000001010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100100000011110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100100000011110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100100000011110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010100000011110000000010000000000000000000000000000000000010000010000011100011110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010100000011110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010100000011110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010100000011110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110100000011110000000010000000000000000000000000000000000010000010000011100001110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110100000011110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110100000011110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110100000011110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001100000011110000000010000000000000000000000000000000000010000010000011100010110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001100000011110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001100000011110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001100000011110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101100000011110000000010000000000000000000000000000000000010000010000011100000110010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101100000011110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101100000011110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101100000011110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011100000011110000000010000000000000000000000000000000000010000010000011100011010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011100000011110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011100000011110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011100000011110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111100000011110000000010000000000000000000000000000000000010000010000011100001010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111100000011110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111100000011110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111100000011110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000010000011110000000010000000000000000000000000000000000010000010000011100010010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000010000011110000000010000000000000000000000000000000000010000010000011100000010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000010000011110000000010000000000000000000000000000000000010000010000011100000010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000010000011110000000010000000000000000000000000000000000010000010000011100000010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100010000011110000000010000000000000000000000000000000000010000010000011100000010010010000000000000001110101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100010000011110000000010000000000000000000000000000000000010000010000011100011100010010000000000000001000101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100010000011110000000010000000000000000000000000000000000010000010000011100011100010010000000000000001000101010100111110110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100010000011110000000010000000000000000000000000000000000010000010000011100011100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010010000011110000000010000000000000000000000000000000000010000010000011100011100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010010000011110000000010000000000000000000000000000000000010000010000011100001100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010010000011110000000010000000000000000000000000000000000010000010000011100001100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010010000011110000000010000000000000000000000000000000000010000010000011100001100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110010000011110000000010000000000000000000000000000000000010000010000011100001100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110010000011110000000010000000000000000000000000000000000010000010000011100010100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110010000011110000000010000000000000000000000000000000000010000010000011100010100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110010000011110000000010000000000000000000000000000000000010000010000011100010100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001010000011110000000010000000000000000000000000000000000010000010000011100010100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001010000011110000000010000000000000000000000000000000000010000010000011100000100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001010000011110000000010000000000000000000000000000000000010000010000011100000100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000001010000011110000000010000000000000000000000000000000000010000010000011100000100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101010000011110000000010000000000000000000000000000000000010000010000011100000100010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101010000011110000000010000000000000000000000000000000000010000010000011100011000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101010000011110000000010000000000000000000000000000000000010000010000011100011000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000101010000011110000000010000000000000000000000000000000000010000010000011100011000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011010000011110000000010000000000000000000000000000000000010000010000011100011000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011010000011110000000010000000000000000000000000000000000010000010000011100001000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011010000011110000000010000000000000000000000000000000000010000010000011100001000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000011010000011110000000010000000000000000000000000000000000010000010000011100001000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111010000011110000000010000000000000000000000000000000000010000010000011100001000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111010000011110000000010000000000000000000000000000000000010000010000011100010000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111010000011110000000010000000000000000000000000000000000010000010000011100010000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000111010000011110000000010000000000000000000000000000000000010000010000011100010000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000110000011110000000010000000000000000000000000000000000010000010000011100010000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000110000011110000000010000000000000000000000000000000000010000010000011100000000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000110000011110000000010000000000000000000000000000000000010000010000011100000000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000000110000011110000000010000000000000000000000000000000000010000010000011100000000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100110000011110000000010000000000000000000000000000000000010000010000011100000000010010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100110000011110000000010000000000000000000000000000000000010000010000011100011111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100110000011110000000010000000000000000000000000000000000010000010000011100011111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000100110000011110000000010000000000000000000000000000000000010000010000011100011111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010110000011110000000010000000000000000000000000000000000010000010000011100011111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010110000011110000000010000000000000000000000000000000000010000010000011100001111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010110000011110000000010000000000000000000000000000000000010000010000011100001111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000010110000011110000000010000000000000000000000000000000000010000010000011100001111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110110000011110000000010000000000000000000000000000000000010000010000011100001111100010000000000000001000101010101101010110010000001111101000000000100000000000000000000000000000001101110101001000001011000100001111000110110000011110000000010000000000000000000000000000000000010000010000011100010111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000110110000011110000000010000000000000000000000000000000000010000010000011100010111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000110110000011110000000010000000000000000000000000000000000010000010000011100010111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000001110000011110000000010000000000000000000000000000000000010000010000011100010111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000001110000011110000000010000000000000000000000000000000000010000010000011100000111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000001110000011110000000010000000000000000000000000000000000010000010000011100000111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000001110000011110000000010000000000000000000000000000000000010000010000011100000111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000101110000011110000000010000000000000000000000000000000000010000010000011100000111100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000101110000011110000000010000000000000000000000000000000000010000010000011100011011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000101110000011110000000010000000000000000000000000000000000010000010000011100011011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000101110000011110000000010000000000000000000000000000000000010000010000011100011011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000011110000011110000000010000000000000000000000000000000000010000010000011100011011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000011110000011110000000010000000000000000000000000000000000010000010000011100001011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000011110000011110000000010000000000000000000000000000000000010000010000011100001011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000011110000011110000000010000000000000000000000000000000000010000010000011100001011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000111110000011110000000010000000000000000000000000000000000010000010000011100001011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000111110000011110000000010000000000000000000000000000000000010000010000011100010011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000111110000011110000000010000000000000000000000000000000000010000010000011100010011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000111110000011110000000010000000000000000000000000000000000010000010000011100010011100010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001101110101001000001011000100001111000000001000011110000000010000000000000000000000000000000000010000010000011100010011100010000000000000001000101010101101010110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000001000011110000000010000000000000000000000000000000000010000010000011100000011100010000000001000001000101010101101010110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000001000011110000000010000000000000000000000000000000000010000010000011100000011100010000000001000001000101010101101010110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000001000011110000000010000000000000000000000000000000000010000010000011100000011100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100001000011110000000010000000000000000000000000000000000010000010000011100000011100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100001000011110000000010000000000000000000000000000000000010000010000011100011101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100001000011110000000010000000000000000000000000000000000010000010000011100011101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100001000011110000000010000000000000000000000000000000000010000010000011100011101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010001000011110000000010000000000000000000000000000000000010000010000011100011101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010001000011110000000010000000000000000000000000000000000010000010000011100001101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010001000011110000000010000000000000000000000000000000000010000010000011100001101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010001000011110000000010000000000000000000000000000000000010000010000011100001101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110001000011110000000010000000000000000000000000000000000010000010000011100001101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110001000011110000000010000000000000000000000000000000000010000010000011100010101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110001000011110000000010000000000000000000000000000000000010000010000011100010101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110001000011110000000010000000000000000000000000000000000010000010000011100010101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001001000011110000000010000000000000000000000000000000000010000010000011100010101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001001000011110000000010000000000000000000000000000000000010000010000011100000101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001001000011110000000010000000000000000000000000000000000010000010000011100000101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001001000011110000000010000000000000000000000000000000000010000010000011100000101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101001000011110000000010000000000000000000000000000000000010000010000011100000101100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101001000011110000000010000000000000000000000000000000000010000010000011100011001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101001000011110000000010000000000000000000000000000000000010000010000011100011001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101001000011110000000010000000000000000000000000000000000010000010000011100011001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011001000011110000000010000000000000000000000000000000000010000010000011100011001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011001000011110000000010000000000000000000000000000000000010000010000011100001001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011001000011110000000010000000000000000000000000000000000010000010000011100001001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011001000011110000000010000000000000000000000000000000000010000010000011100001001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111001000011110000000010000000000000000000000000000000000010000010000011100001001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111001000011110000000010000000000000000000000000000000000010000010000011100010001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111001000011110000000010000000000000000000000000000000000010000010000011100010001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111001000011110000000010000000000000000000000000000000000010000010000011100010001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000101000011110000000010000000000000000000000000000000000010000010000011100010001100010000000001000001000101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000101000011110000000010000000000000000000000000000000000010000010000011100000001100010000000000000001100101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000101000011110000000010000000000000000000000000000000000010000010000011100000001100010000000000000001100101010010000000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000101000011110000000010000000000000000000000000000000000010000010000011100000001100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100101000011110000000010000000000000000000000000000000000010000010000011100000001100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100101000011110000000010000000000000000000000000000000000010000010000011100011110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100101000011110000000010000000000000000000000000000000000010000010000011100011110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100101000011110000000010000000000000000000000000000000000010000010000011100011110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010101000011110000000010000000000000000000000000000000000010000010000011100011110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010101000011110000000010000000000000000000000000000000000010000010000011100001110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010101000011110000000010000000000000000000000000000000000010000010000011100001110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010101000011110000000010000000000000000000000000000000000010000010000011100001110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110101000011110000000010000000000000000000000000000000000010000010000011100001110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110101000011110000000010000000000000000000000000000000000010000010000011100010110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110101000011110000000010000000000000000000000000000000000010000010000011100010110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110101000011110000000010000000000000000000000000000000000010000010000011100010110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001101000011110000000010000000000000000000000000000000000010000010000011100010110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001101000011110000000010000000000000000000000000000000000010000010000011100000110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001101000011110000000010000000000000000000000000000000000010000010000011100000110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001101000011110000000010000000000000000000000000000000000010000010000011100000110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101101000011110000000010000000000000000000000000000000000010000010000011100000110100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101101000011110000000010000000000000000000000000000000000010000010000011100011010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101101000011110000000010000000000000000000000000000000000010000010000011100011010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101101000011110000000010000000000000000000000000000000000010000010000011100011010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011101000011110000000010000000000000000000000000000000000010000010000011100011010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011101000011110000000010000000000000000000000000000000000010000010000011100001010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011101000011110000000010000000000000000000000000000000000010000010000011100001010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011101000011110000000010000000000000000000000000000000000010000010000011100001010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111101000011110000000010000000000000000000000000000000000010000010000011100001010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111101000011110000000010000000000000000000000000000000000010000010000011100010010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111101000011110000000010000000000000000000000000000000000010000010000011100010010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111101000011110000000010000000000000000000000000000000000010000010000011100010010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000011000011110000000010000000000000000000000000000000000010000010000011100010010100010000000000000001100101010000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000011000011110000000010000000000000000000000000000000000010000010000011100000010100010000000000000100100000000000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000011000011110000000010000000000000000000000000000000000010000010000011100000010100010000000000000100100000000000001000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000011000011110000000010000000000000000000000000000000000010000010000011100000010100010000000000000100100000000110110000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100011000011110000000010000000000000000000000000000000000010000010000011100000010100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100011000011110000000010000000000000000000000000000000000010000010000011100011100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100011000011110000000010000000000000000000000000000000000010000010000011100011100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100011000011110000000010000000000000000000000000000000000010000010000011100011100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010011000011110000000010000000000000000000000000000000000010000010000011100011100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010011000011110000000010000000000000000000000000000000000010000010000011100001100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010011000011110000000010000000000000000000000000000000000010000010000011100001100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010011000011110000000010000000000000000000000000000000000010000010000011100001100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110011000011110000000010000000000000000000000000000000000010000010000011100001100100010000000000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110011000011110000000010000000000000000000000000000000000010000010000011100010100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110011000011110000000010000000000000000000000000000000000010000010000011100010100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110011000011110000000010000000000000000000000000000000000010000010000011100010100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001011000011110000000010000000000000000000000000000000000010000010000011100010100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001011000011110000000010000000000000000000000000000000000010000010000011100000100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001011000011110000000010000000000000000000000000000000000010000010000011100000100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000001011000011110000000010000000000000000000000000000000000010000010000011100000100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101011000011110000000010000000000000000000000000000000000010000010000011100000100100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101011000011110000000010000000000000000000000000000000000010000010000011100011000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101011000011110000000010000000000000000000000000000000000010000010000011100011000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000101011000011110000000010000000000000000000000000000000000010000010000011100011000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011011000011110000000010000000000000000000000000000000000010000010000011100011000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011011000011110000000010000000000000000000000000000000000010000010000011100001000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011011000011110000000010000000000000000000000000000000000010000010000011100001000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000011011000011110000000010000000000000000000000000000000000010000010000011100001000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111011000011110000000010000000000000000000000000000000000010000010000011100001000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111011000011110000000010000000000000000000000000000000000010000010000011100010000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111011000011110000000010000000000000000000000000000000000010000010000011100010000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000111011000011110000000010000000000000000000000000000000000010000010000011100010000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000111000011110000000010000000000000000000000000000000000010000010000011100010000100010000010000000100100000000000010000110010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000111000011110000000010000000000000000000000000000000000010000010000011100000000100010000010001000001100101010000010000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000111000011110000000010000000000000000000000000000000000010000010000011100000000100010000010001000001100101010000010000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000000111000011110000000010000000000000000000000000000000000010000010000011100000000100010000010001000001100101010111111110010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100111000011110000000010000000000000000000000000000000000010000010000011100000000100010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100111000011110000000010000000000000000000000000000000000010000010000011100011111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100111000011110000000010000000000000000000000000000000000010000010000011100011111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000100111000011110000000010000000000000000000000000000000000010000010000011100011111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010111000011110000000010000000000000000000000000000000000010000010000011100011111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010111000011110000000010000000000000000000000000000000000010000010000011100001111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010111000011110000000010000000000000000000000000000000000010000010000011100001111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000010111000011110000000010000000000000000000000000000000000010000010000011100001111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110111000011110000000010000000000000000000000000000000000010000010000011100001111000010000010001000001100101010100101000010010010110101111000000000100000000000000000000000000000001101110101001000001011000100001111000110111000011110000000010000000000000000000000000000000000010000010000011100010111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000110111000011110000000010000000000000000000000000000000000010000010000011100010111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000110111000011110000000010000000000000000000000000000000000010000010000011100010111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000001111000011110000000010000000000000000000000000000000000010000010000011100010111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000001111000011110000000010000000000000000000000000000000000010000010000011100000111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000001111000011110000000010000000000000000000000000000000000010000010000011100000111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000001111000011110000000010000000000000000000000000000000000010000010000011100000111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000101111000011110000000010000000000000000000000000000000000010000010000011100000111000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000101111000011110000000010000000000000000000000000000000000010000010000011100011011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000101111000011110000000010000000000000000000000000000000000010000010000011100011011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000101111000011110000000010000000000000000000000000000000000010000010000011100011011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000011111000011110000000010000000000000000000000000000000000010000010000011100011011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000011111000011110000000010000000000000000000000000000000000010000010000011100001011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000011111000011110000000010000000000000000000000000000000000010000010000011100001011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000011111000011110000000010000000000000000000000000000000000010000010000011100001011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000111111000011110000000010000000000000000000000000000000000010000010000011100001011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000111111000011110000000010000000000000000000000000000000000010000010000011100010011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000111111000011110000000010000000000000000000000000000000000010000010000011100010011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000111111000011110000000010000000000000000000000000000000000010000010000011100010011000010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001101110101001000001011000100001111000000000100011110000000010000000000000000000000000000000000010000010000011100010011000010000010001000001100101010100101000010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000000000100011110000000010000000000000000000000000000000000010000010000011100000011000010000010000000001010101010100101000010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000000000100011110000000010000000000000000000000000000000000010000010000011100000011000010000010000000001010101010100101000010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000000000100011110000000010000000000000000000000000000000000010000010000011100000011000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100000100011110000000010000000000000000000000000000000000010000010000011100000011000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100000100011110000000010000000000000000000000000000000000010000010000011100011101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100000100011110000000010000000000000000000000000000000000010000010000011100011101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100000100011110000000010000000000000000000000000000000000010000010000011100011101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010000100011110000000010000000000000000000000000000000000010000010000011100011101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010000100011110000000010000000000000000000000000000000000010000010000011100001101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010000100011110000000010000000000000000000000000000000000010000010000011100001101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010000100011110000000010000000000000000000000000000000000010000010000011100001101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000110000100011110000000010000000000000000000000000000000000010000010000011100001101000010000010000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000110000100011110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000110000100011110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000110000100011110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000001000100011110000000010000000000000000000000000000000000010000010000011100010101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000001000100011110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000001000100011110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000001000100011110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000101000100011110000000010000000000000000000000000000000000010000010000011100000101000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000101000100011110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000101000100011110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000101000100011110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000011000100011110000000010000000000000000000000000000000000010000010000011100011001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000011000100011110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000011000100011110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000011000100011110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000111000100011110000000010000000000000000000000000000000000010000010000011100001001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000111000100011110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000111000100011110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000111000100011110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000000100100011110000000010000000000000000000000000000000000010000010000011100010001000010000000000000001010101010000000100010010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000000100100011110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001010101010000000100110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000000100100011110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001010101010000000100110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000000100100011110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100100100011110000000010000000000000000000000000000000000010000010000011100000001000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100100100011110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100100100011110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000100100100011110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010100100011110000000010000000000000000000000000000000000010000010000011100011110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010100100011110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010100100011110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000010100100011110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000110100100011110000000010000000000000000000000000000000000010000010000011100001110000010000000001000001010101010000011110110010010010100101000000000000000000001000000000000000000001101110101001000001011000100001111000110100100011110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000110100100011110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000110100100011110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000001100100011110000000010000000000000000000000000000000000010000010000011100010110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000001100100011110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000001100100011110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000001100100011110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000101100100011110000000010000000000000000000000000000000000010000010000011100000110000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000101100100011110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000101100100011110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000101100100011110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000011100100011110000000010000000000000000000000000000000000010000010000011100011010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000011100100011110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000011100100011110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000011100100011110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000111100100011110000000010000000000000000000000000000000000010000010000011100001010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000111100100011110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000111100100011110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000111100100011110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001101110101001000001011000100001111000000010100011110000000010000000000000000000000000000000000010000010000011100010010000010000000001000001010101010000011110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000010100011110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001110101010000011110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000010100011110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001110101010000011110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000010100011110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100010100011110000000010000000000000000000000000000000000010000010000011100000010000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100010100011110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100010100011110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100010100011110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010010100011110000000010000000000000000000000000000000000010000010000011100011100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010010100011110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010010100011110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010010100011110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110010100011110000000010000000000000000000000000000000000010000010000011100001100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110010100011110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110010100011110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110010100011110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001010100011110000000010000000000000000000000000000000000010000010000011100010100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001010100011110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001010100011110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001010100011110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101010100011110000000010000000000000000000000000000000000010000010000011100000100000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101010100011110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101010100011110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101010100011110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011010100011110000000010000000000000000000000000000000000010000010000011100011000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011010100011110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011010100011110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011010100011110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111010100011110000000010000000000000000000000000000000000010000010000011100001000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111010100011110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111010100011110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111010100011110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000110100011110000000010000000000000000000000000000000000010000010000011100010000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001000101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001000101010100111110110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010110100011110000000010000000000000000000000000000000000010000010000011100000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010110100011110000000010000000000000000000000000000000000010000100000111000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010110100011110000000010000000000000000000000000000000000010000100000111000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010110100011110000000010000000000000000000000000000000000010000100000111000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110110100011110000000010000000000000000000000000000000000010000100000111000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110110100011110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110110100011110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110110100011110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001110100011110000000010000000000000000000000000000000000010001000001110000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001110100011110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001110100011110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000001110100011110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101110100011110000000010000000000000000000000000000000000010010000001100000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101110100011110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101110100011110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000101110100011110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011110100011110000000010000000000000000000000000000000000010100000001000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011110100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011110100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000011110100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000000000000001000000000000000000001101110101001000001011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001101110101001000001011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000100000000001000000000000000000001101110101001000001011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001101110101001000001011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000100000000001000000000000000000001101110101001000001011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001101110101001000001011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000000000000000000000000010000000001101110101001000001011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001101110101001000001011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000000000000000010000000001101110101001000001011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001101110101001000001011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000000000000000010000000001101110101001000001011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001101110101001000001011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000000000000000010000000001101110101001000001011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001101110101001000001011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000001000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000110110000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010000010000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010000010000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010111111110010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000000000000001000000000010000000001101110101001000001011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001101110101001000001011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010100101000010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010100101000010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000000100110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000000100110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100111000000000000000000001000000000010000000001101110101001000001011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001101110101001000001011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010000011110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010100111110110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111101000000000100000000001000000000010000000001101110101001000001011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001101110101001000001011000100001111000000000101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000000101011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000000101011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010101101010110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000000101011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100000101011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111000101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010010000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111100101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000001000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000110110000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000001010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000101010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000011010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000111010101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010000000000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000000110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010111111110110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000100110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000010110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010110101111000000000100000000001000000000010000000001101110101001000001011000100001111000110110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000110110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000110110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000001110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000001110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000001110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000001110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000101110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000101110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000101110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000101110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000011110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000011110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000011110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000011110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000111110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000111110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000111110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000111110101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100111000000000100000000001000000000010000000001101110101001000001011000100001111000000001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001100101010100101000110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000000001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010100101000110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000000001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010100101000110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000000001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000110001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000110001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000110001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000110001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000001001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000001001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000001001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000001001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000101001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000101001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000101001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000101001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000011001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000011001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000011001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000011001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000111001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000111001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000111001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000111001101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000000101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000000101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000000101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000000100110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000000101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000100101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000010101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000110101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010010010100101000000000000000000000000000000000000000001001110101000000011011000100001111000110101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000110101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000110101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000001101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000001101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000001101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000001101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000101101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000101101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000101101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000101101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000011101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000011101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000011101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000011101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000111101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000111101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000111101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000111101101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111101000000000000000000000000000000000000000001001110101000000011011000100001111000000011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000001000001010101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010000011110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111011101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010100111110110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000001111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000101111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011111101011110000000000000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011111101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011111101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000011111101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111111101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111111101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111111101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000111111101011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000000000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000100000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000010000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000000000000000000000000001001110101000000011011000100001111000110000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000110000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000110000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000001000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000001000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000001000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000001000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000101000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000101000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000101000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000101000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000011000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000011000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000011000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000011000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000111000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000111000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000111000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000111000011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000000000000000000000000001001110101000000011011000100001111000000100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010101101010110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100011011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000000000011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000000000011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000000000011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010010000000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000000000011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100000000011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100000000011110000000010000000000000000000000000000000000010000000000000000011101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100000000011110000000010000000000000000000000000000000000010000000000000000011101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100000000011110000000010000000000000000000000000000000000010000000000000000011101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010000000011110000000010000000000000000000000000000000000010000000000000000011101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010000000011110000000010000000000000000000000000000000000010000000000000000001101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010000000011110000000010000000000000000000000000000000000010000000000000000001101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010000000011110000000010000000000000000000000000000000000010000000000000000001101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110000000011110000000010000000000000000000000000000000000010000000000000000001101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110000000011110000000010000000000000000000000000000000000010000000000000000010101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110000000011110000000010000000000000000000000000000000000010000000000000000010101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110000000011110000000010000000000000000000000000000000000010000000000000000010101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001000000011110000000010000000000000000000000000000000000010000000000000000010101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001000000011110000000010000000000000000000000000000000000010000000000000000000101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001000000011110000000010000000000000000000000000000000000010000000000000000000101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001000000011110000000010000000000000000000000000000000000010000000000000000000101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101000000011110000000010000000000000000000000000000000000010000000000000000000101010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101000000011110000000010000000000000000000000000000000000010000000000000000011001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101000000011110000000010000000000000000000000000000000000010000000000000000011001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101000000011110000000010000000000000000000000000000000000010000000000000000011001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011000000011110000000010000000000000000000000000000000000010000000000000000011001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011000000011110000000010000000000000000000000000000000000010000000000000000001001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011000000011110000000010000000000000000000000000000000000010000000000000000001001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011000000011110000000010000000000000000000000000000000000010000000000000000001001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111000000011110000000010000000000000000000000000000000000010000000000000000001001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111000000011110000000010000000000000000000000000000000000010000000000000000010001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111000000011110000000010000000000000000000000000000000000010000000000000000010001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111000000011110000000010000000000000000000000000000000000010000000000000000010001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000100000011110000000010000000000000000000000000000000000010000000000000000010001010010000000000000001100101010000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000100000011110000000010000000000000000000000000000000000010000000000000000000001010010000000000000100100000000000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000100000011110000000010000000000000000000000000000000000010000000000000000000001010010000000000000100100000000000001000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000100000011110000000010000000000000000000000000000000000010000000000000000000001010010000000000000100100000000110110000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100000011110000000010000000000000000000000000000000000010000000000000000000001010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100000011110000000010000000000000000000000000000000000010000000000000000011110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100000011110000000010000000000000000000000000000000000010000000000000000011110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100100000011110000000010000000000000000000000000000000000010000000000000000011110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100000011110000000010000000000000000000000000000000000010000000000000000011110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100000011110000000010000000000000000000000000000000000010000000000000000001110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100000011110000000010000000000000000000000000000000000010000000000000000001110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010100000011110000000010000000000000000000000000000000000010000000000000000001110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100000011110000000010000000000000000000000000000000000010000000000000000001110010010000000000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100000011110000000010000000000000000000000000000000000010000000000000000010110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100000011110000000010000000000000000000000000000000000010000000000000000010110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110100000011110000000010000000000000000000000000000000000010000000000000000010110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100000011110000000010000000000000000000000000000000000010000000000000000010110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100000011110000000010000000000000000000000000000000000010000000000000000000110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100000011110000000010000000000000000000000000000000000010000000000000000000110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000001100000011110000000010000000000000000000000000000000000010000000000000000000110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100000011110000000010000000000000000000000000000000000010000000000000000000110010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100000011110000000010000000000000000000000000000000000010000000000000000011010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100000011110000000010000000000000000000000000000000000010000000000000000011010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000101100000011110000000010000000000000000000000000000000000010000000000000000011010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100000011110000000010000000000000000000000000000000000010000000000000000011010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100000011110000000010000000000000000000000000000000000010000000000000000001010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100000011110000000010000000000000000000000000000000000010000000000000000001010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000011100000011110000000010000000000000000000000000000000000010000000000000000001010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100000011110000000010000000000000000000000000000000000010000000000000000001010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100000011110000000010000000000000000000000000000000000010000000000000000010010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100000011110000000010000000000000000000000000000000000010000000000000000010010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000111100000011110000000010000000000000000000000000000000000010000000000000000010010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000010000011110000000010000000000000000000000000000000000010000000000000000010010010010000010000000100100000000000010000110010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000010000011110000000010000000000000000000000000000000000010000000000000000000010010010000010001000001100101010000010000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000010000011110000000010000000000000000000000000000000000010000000000000000000010010010000010001000001100101010000010000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000000010000011110000000010000000000000000000000000000000000010000000000000000000010010010000010001000001100101010111111110010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100010000011110000000010000000000000000000000000000000000010000000000000000000010010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100010000011110000000010000000000000000000000000000000000010000000000000000011100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100010000011110000000010000000000000000000000000000000000010000000000000000011100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000100010000011110000000010000000000000000000000000000000000010000000000000000011100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010010000011110000000010000000000000000000000000000000000010000000000000000011100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010010000011110000000010000000000000000000000000000000000010000000000000000001100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010010000011110000000010000000000000000000000000000000000010000000000000000001100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000010010000011110000000010000000000000000000000000000000000010000000000000000001100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110010000011110000000010000000000000000000000000000000000010000000000000000001100010010000010001000001100101010100101000010010010110101101000000000100000000000000000000000000000001001110101000000011011000100001111000110010000011110000000010000000000000000000000000000000000010000000000000000010100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000110010000011110000000010000000000000000000000000000000000010000000000000000010100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000110010000011110000000010000000000000000000000000000000000010000000000000000010100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000001010000011110000000010000000000000000000000000000000000010000000000000000010100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000001010000011110000000010000000000000000000000000000000000010000000000000000000100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000001010000011110000000010000000000000000000000000000000000010000000000000000000100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000001010000011110000000010000000000000000000000000000000000010000000000000000000100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000101010000011110000000010000000000000000000000000000000000010000000000000000000100010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000101010000011110000000010000000000000000000000000000000000010000000000000000011000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000101010000011110000000010000000000000000000000000000000000010000000000000000011000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000101010000011110000000010000000000000000000000000000000000010000000000000000011000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000011010000011110000000010000000000000000000000000000000000010000000000000000011000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000011010000011110000000010000000000000000000000000000000000010000000000000000001000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000011010000011110000000010000000000000000000000000000000000010000000000000000001000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000011010000011110000000010000000000000000000000000000000000010000000000000000001000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000111010000011110000000010000000000000000000000000000000000010000000000000000001000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000111010000011110000000010000000000000000000000000000000000010000000000000000010000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000111010000011110000000010000000000000000000000000000000000010000000000000000010000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000111010000011110000000010000000000000000000000000000000000010000000000000000010000010010000010001000001100101010100101000010010010010100101000000000100000000000000000000000000000001001110101000000011011000100001111000000110000011110000000010000000000000000000000000000000000010000000000000000010000010010000010001000001100101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000000110000011110000000010000000000000000000000000000000000010000000000000000000000010010000010000000001010101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000000110000011110000000010000000000000000000000000000000000010000000000000000000000010010000010000000001010101010100101000010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000000110000011110000000010000000000000000000000000000000000010000000000000000000000010010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100110000011110000000010000000000000000000000000000000000010000000000000000000000010010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100110000011110000000010000000000000000000000000000000000010000000000000000011111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100110000011110000000010000000000000000000000000000000000010000000000000000011111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100110000011110000000010000000000000000000000000000000000010000000000000000011111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010110000011110000000010000000000000000000000000000000000010000000000000000011111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010110000011110000000010000000000000000000000000000000000010000000000000000001111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010110000011110000000010000000000000000000000000000000000010000000000000000001111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010110000011110000000010000000000000000000000000000000000010000000000000000001111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000110110000011110000000010000000000000000000000000000000000010000000000000000001111100010000010000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000110110000011110000000010000000000000000000000000000000000010000000000000000010111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000110110000011110000000010000000000000000000000000000000000010000000000000000010111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000110110000011110000000010000000000000000000000000000000000010000000000000000010111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000001110000011110000000010000000000000000000000000000000000010000000000000000010111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000001110000011110000000010000000000000000000000000000000000010000000000000000000111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000001110000011110000000010000000000000000000000000000000000010000000000000000000111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000001110000011110000000010000000000000000000000000000000000010000000000000000000111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000101110000011110000000010000000000000000000000000000000000010000000000000000000111100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000101110000011110000000010000000000000000000000000000000000010000000000000000011011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000101110000011110000000010000000000000000000000000000000000010000000000000000011011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000101110000011110000000010000000000000000000000000000000000010000000000000000011011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000011110000011110000000010000000000000000000000000000000000010000000000000000011011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000011110000011110000000010000000000000000000000000000000000010000000000000000001011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000011110000011110000000010000000000000000000000000000000000010000000000000000001011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000011110000011110000000010000000000000000000000000000000000010000000000000000001011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000111110000011110000000010000000000000000000000000000000000010000000000000000001011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000111110000011110000000010000000000000000000000000000000000010000000000000000010011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000111110000011110000000010000000000000000000000000000000000010000000000000000010011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000111110000011110000000010000000000000000000000000000000000010000000000000000010011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000000001000011110000000010000000000000000000000000000000000010000000000000000010011100010000000000000001010101010000000100010010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000000001000011110000000010000000000000000000000000000000000010000000000000000000011100010000000001000001010101010000000100110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000000001000011110000000010000000000000000000000000000000000010000000000000000000011100010000000001000001010101010000000100110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000000001000011110000000010000000000000000000000000000000000010000000000000000000011100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100001000011110000000010000000000000000000000000000000000010000000000000000000011100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100001000011110000000010000000000000000000000000000000000010000000000000000011101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100001000011110000000010000000000000000000000000000000000010000000000000000011101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000100001000011110000000010000000000000000000000000000000000010000000000000000011101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010001000011110000000010000000000000000000000000000000000010000000000000000011101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010001000011110000000010000000000000000000000000000000000010000000000000000001101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010001000011110000000010000000000000000000000000000000000010000000000000000001101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000010001000011110000000010000000000000000000000000000000000010000000000000000001101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000110001000011110000000010000000000000000000000000000000000010000000000000000001101100010000000001000001010101010000011110110010010010100111000000000100000000000000000000000000000001001110101000000011011000100001111000110001000011110000000010000000000000000000000000000000000010000000000000000010101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000110001000011110000000010000000000000000000000000000000000010000000000000000010101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000110001000011110000000010000000000000000000000000000000000010000000000000000010101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000001001000011110000000010000000000000000000000000000000000010000000000000000010101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000001001000011110000000010000000000000000000000000000000000010000000000000000000101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000001001000011110000000010000000000000000000000000000000000010000000000000000000101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000001001000011110000000010000000000000000000000000000000000010000000000000000000101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000101001000011110000000010000000000000000000000000000000000010000000000000000000101100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000101001000011110000000010000000000000000000000000000000000010000000000000000011001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000101001000011110000000010000000000000000000000000000000000010000000000000000011001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000101001000011110000000010000000000000000000000000000000000010000000000000000011001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000011001000011110000000010000000000000000000000000000000000010000000000000000011001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000011001000011110000000010000000000000000000000000000000000010000000000000000001001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000011001000011110000000010000000000000000000000000000000000010000000000000000001001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000011001000011110000000010000000000000000000000000000000000010000000000000000001001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000111001000011110000000010000000000000000000000000000000000010000000000000000001001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000111001000011110000000010000000000000000000000000000000000010000000000000000010001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000111001000011110000000010000000000000000000000000000000000010000000000000000010001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000111001000011110000000010000000000000000000000000000000000010000000000000000010001100010000000001000001010101010000011110110010000001111111000000000100000000000000000000000000000001001110101000000011011000100001111000000101000011110000000010000000000000000000000000000000000010000000000000000010001100010000000001000001010101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000101000011110000000010000000000000000000000000000000000010000000000000000000001100010000000000000001110101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000101000011110000000010000000000000000000000000000000000010000000000000000000001100010000000000000001110101010000011110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000101000011110000000010000000000000000000000000000000000010000000000000000000001100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100101000011110000000010000000000000000000000000000000000010000000000000000000001100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100101000011110000000010000000000000000000000000000000000010000000000000000011110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100101000011110000000010000000000000000000000000000000000010000000000000000011110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100101000011110000000010000000000000000000000000000000000010000000000000000011110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010101000011110000000010000000000000000000000000000000000010000000000000000011110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010101000011110000000010000000000000000000000000000000000010000000000000000001110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010101000011110000000010000000000000000000000000000000000010000000000000000001110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010101000011110000000010000000000000000000000000000000000010000000000000000001110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110101000011110000000010000000000000000000000000000000000010000000000000000001110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110101000011110000000010000000000000000000000000000000000010000000000000000010110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110101000011110000000010000000000000000000000000000000000010000000000000000010110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110101000011110000000010000000000000000000000000000000000010000000000000000010110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001101000011110000000010000000000000000000000000000000000010000000000000000010110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001101000011110000000010000000000000000000000000000000000010000000000000000000110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001101000011110000000010000000000000000000000000000000000010000000000000000000110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001101000011110000000010000000000000000000000000000000000010000000000000000000110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101101000011110000000010000000000000000000000000000000000010000000000000000000110100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101101000011110000000010000000000000000000000000000000000010000000000000000011010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101101000011110000000010000000000000000000000000000000000010000000000000000011010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101101000011110000000010000000000000000000000000000000000010000000000000000011010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011101000011110000000010000000000000000000000000000000000010000000000000000011010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011101000011110000000010000000000000000000000000000000000010000000000000000001010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011101000011110000000010000000000000000000000000000000000010000000000000000001010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011101000011110000000010000000000000000000000000000000000010000000000000000001010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111101000011110000000010000000000000000000000000000000000010000000000000000001010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111101000011110000000010000000000000000000000000000000000010000000000000000010010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111101000011110000000010000000000000000000000000000000000010000000000000000010010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111101000011110000000010000000000000000000000000000000000010000000000000000010010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000011000011110000000010000000000000000000000000000000000010000000000000000010010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000011000011110000000010000000000000000000000000000000000010000000000000000000010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000011000011110000000010000000000000000000000000000000000010000000000000000000010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000011000011110000000010000000000000000000000000000000000010000000000000000000010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100011000011110000000010000000000000000000000000000000000010000000000000000000010100010000000000000001110101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100011000011110000000010000000000000000000000000000000000010000000000000000011100100010000000000000001000101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100011000011110000000010000000000000000000000000000000000010000000000000000011100100010000000000000001000101010100111110110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100011000011110000000010000000000000000000000000000000000010000000000000000011100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010011000011110000000010000000000000000000000000000000000010000000000000000011100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010011000011110000000010000000000000000000000000000000000010000000000000000001100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010011000011110000000010000000000000000000000000000000000010000000000000000001100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010011000011110000000010000000000000000000000000000000000010000000000000000001100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110011000011110000000010000000000000000000000000000000000010000000000000000001100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110011000011110000000010000000000000000000000000000000000010000000000000000010100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110011000011110000000010000000000000000000000000000000000010000000000000000010100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110011000011110000000010000000000000000000000000000000000010000000000000000010100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001011000011110000000010000000000000000000000000000000000010000000000000000010100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001011000011110000000010000000000000000000000000000000000010000000000000000000100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001011000011110000000010000000000000000000000000000000000010000000000000000000100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000001011000011110000000010000000000000000000000000000000000010000000000000000000100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101011000011110000000010000000000000000000000000000000000010000000000000000000100100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101011000011110000000010000000000000000000000000000000000010000000000000000011000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101011000011110000000010000000000000000000000000000000000010000000000000000011000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000101011000011110000000010000000000000000000000000000000000010000000000000000011000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011011000011110000000010000000000000000000000000000000000010000000000000000011000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011011000011110000000010000000000000000000000000000000000010000000000000000001000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011011000011110000000010000000000000000000000000000000000010000000000000000001000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000011011000011110000000010000000000000000000000000000000000010000000000000000001000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111011000011110000000010000000000000000000000000000000000010000000000000000001000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111011000011110000000010000000000000000000000000000000000010000000000000000010000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111011000011110000000010000000000000000000000000000000000010000000000000000010000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000111011000011110000000010000000000000000000000000000000000010000000000000000010000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000111000011110000000010000000000000000000000000000000000010000000000000000010000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000111000011110000000010000000000000000000000000000000000010000000000000000000000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000111000011110000000010000000000000000000000000000000000010000000000000000000000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000000111000011110000000010000000000000000000000000000000000010000000000000000000000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100111000011110000000010000000000000000000000000000000000010000000000000000000000100010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100111000011110000000010000000000000000000000000000000000010000000000000000011111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100111000011110000000010000000000000000000000000000000000010000000000000000011111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000100111000011110000000010000000000000000000000000000000000010000000000000000011111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010111000011110000000010000000000000000000000000000000000010000000000000000011111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010111000011110000000010000000000000000000000000000000000010000000000000000001111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010111000011110000000010000000000000000000000000000000000010000000000000000001111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000010111000011110000000010000000000000000000000000000000000010000000000000000001111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110111000011110000000010000000000000000000000000000000000010000000000000000001111000010000000000000001000101010101101010110010000001111101000000000000000000001000000000000000000001001110101000000011011000100001111000110111000011110000000010000000000000000000000000000000000010000000000000000010111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000110111000011110000000010000000000000000000000000000000000010000000000000000010111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000110111000011110000000010000000000000000000000000000000000010000000000000000010111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000001111000011110000000010000000000000000000000000000000000010000000000000000010111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000001111000011110000000010000000000000000000000000000000000010000000000000000000111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000001111000011110000000010000000000000000000000000000000000010000000000000000000111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000001111000011110000000010000000000000000000000000000000000010000000000000000000111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000101111000011110000000010000000000000000000000000000000000010000000000000000000111000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000101111000011110000000010000000000000000000000000000000000010000000000000000011011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000101111000011110000000010000000000000000000000000000000000010000000000000000011011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000101111000011110000000010000000000000000000000000000000000010000000000000000011011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000011111000011110000000010000000000000000000000000000000000010000000000000000011011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000011111000011110000000010000000000000000000000000000000000010000000000000000001011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000011111000011110000000010000000000000000000000000000000000010000000000000000001011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000011111000011110000000010000000000000000000000000000000000010000000000000000001011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000111111000011110000000010000000000000000000000000000000000010000000000000000001011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000111111000011110000000010000000000000000000000000000000000010000000000000000010011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000111111000011110000000010000000000000000000000000000000000010000000000000000010011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000111111000011110000000010000000000000000000000000000000000010000000000000000010011000010000000000000001000101010101101010110010010110101101000000000000000000001000000000000000000001001110101000000011011000100001111000000000100011110000000010000000000000000000000000000000000010000000000000000010011000010000000000000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000000100011110000000010000000000000000000000000000000000010000000000000000000011000010000000001000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000000100011110000000010000000000000000000000000000000000010000000000000000000011000010000000001000001000101010101101010110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000000100011110000000010000000000000000000000000000000000010000000000000000000011000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100000100011110000000010000000000000000000000000000000000010000000000000000000011000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100000100011110000000010000000000000000000000000000000000010000000000000000011101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100000100011110000000010000000000000000000000000000000000010000000000000000011101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100000100011110000000010000000000000000000000000000000000010000000000000000011101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010000100011110000000010000000000000000000000000000000000010000000000000000011101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010000100011110000000010000000000000000000000000000000000010000000000000000001101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010000100011110000000010000000000000000000000000000000000010000000000000000001101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010000100011110000000010000000000000000000000000000000000010000000000000000001101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110000100011110000000010000000000000000000000000000000000010000000000000000001101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110000100011110000000010000000000000000000000000000000000010000000000000000010101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110000100011110000000010000000000000000000000000000000000010000000000000000010101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110000100011110000000010000000000000000000000000000000000010000000000000000010101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001000100011110000000010000000000000000000000000000000000010000000000000000010101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001000100011110000000010000000000000000000000000000000000010000000000000000000101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001000100011110000000010000000000000000000000000000000000010000000000000000000101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001000100011110000000010000000000000000000000000000000000010000000000000000000101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101000100011110000000010000000000000000000000000000000000010000000000000000000101000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101000100011110000000010000000000000000000000000000000000010000000000000000011001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101000100011110000000010000000000000000000000000000000000010000000000000000011001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101000100011110000000010000000000000000000000000000000000010000000000000000011001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011000100011110000000010000000000000000000000000000000000010000000000000000011001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011000100011110000000010000000000000000000000000000000000010000000000000000001001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011000100011110000000010000000000000000000000000000000000010000000000000000001001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011000100011110000000010000000000000000000000000000000000010000000000000000001001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111000100011110000000010000000000000000000000000000000000010000000000000000001001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111000100011110000000010000000000000000000000000000000000010000000000000000010001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111000100011110000000010000000000000000000000000000000000010000000000000000010001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111000100011110000000010000000000000000000000000000000000010000000000000000010001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000100100011110000000010000000000000000000000000000000000010000000000000000010001000010000000001000001000101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000100100011110000000010000000000000000000000000000000000010000000000000000000001000010000000000000001100101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000100100011110000000010000000000000000000000000000000000010000000000000000000001000010000000000000001100101010010000000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000100100011110000000010000000000000000000000000000000000010000000000000000000001000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100100100011110000000010000000000000000000000000000000000010000000000000000000001000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100100100011110000000010000000000000000000000000000000000010000000000000000011110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100100100011110000000010000000000000000000000000000000000010000000000000000011110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100100100011110000000010000000000000000000000000000000000010000000000000000011110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010100100011110000000010000000000000000000000000000000000010000000000000000011110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010100100011110000000010000000000000000000000000000000000010000000000000000001110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010100100011110000000010000000000000000000000000000000000010000000000000000001110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010100100011110000000010000000000000000000000000000000000010000000000000000001110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110100100011110000000010000000000000000000000000000000000010000000000000000001110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110100100011110000000010000000000000000000000000000000000010000000000000000010110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110100100011110000000010000000000000000000000000000000000010000000000000000010110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110100100011110000000010000000000000000000000000000000000010000000000000000010110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001100100011110000000010000000000000000000000000000000000010000000000000000010110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001100100011110000000010000000000000000000000000000000000010000000000000000000110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001100100011110000000010000000000000000000000000000000000010000000000000000000110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001100100011110000000010000000000000000000000000000000000010000000000000000000110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101100100011110000000010000000000000000000000000000000000010000000000000000000110000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101100100011110000000010000000000000000000000000000000000010000000000000000011010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101100100011110000000010000000000000000000000000000000000010000000000000000011010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101100100011110000000010000000000000000000000000000000000010000000000000000011010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011100100011110000000010000000000000000000000000000000000010000000000000000011010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011100100011110000000010000000000000000000000000000000000010000000000000000001010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011100100011110000000010000000000000000000000000000000000010000000000000000001010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011100100011110000000010000000000000000000000000000000000010000000000000000001010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111100100011110000000010000000000000000000000000000000000010000000000000000001010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111100100011110000000010000000000000000000000000000000000010000000000000000010010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111100100011110000000010000000000000000000000000000000000010000000000000000010010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111100100011110000000010000000000000000000000000000000000010000000000000000010010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000010100011110000000010000000000000000000000000000000000010000000000000000010010000010000000000000001100101010000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000010100011110000000010000000000000000000000000000000000010000000000000000000010000010000000000000100100000000000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000010100011110000000010000000000000000000000000000000000010000000000000000000010000010000000000000100100000000000001000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000010100011110000000010000000000000000000000000000000000010000000000000000000010000010000000000000100100000000110110000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100010100011110000000010000000000000000000000000000000000010000000000000000000010000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100010100011110000000010000000000000000000000000000000000010000000000000000011100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100010100011110000000010000000000000000000000000000000000010000000000000000011100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100010100011110000000010000000000000000000000000000000000010000000000000000011100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010010100011110000000010000000000000000000000000000000000010000000000000000011100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010010100011110000000010000000000000000000000000000000000010000000000000000001100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010010100011110000000010000000000000000000000000000000000010000000000000000001100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010010100011110000000010000000000000000000000000000000000010000000000000000001100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110010100011110000000010000000000000000000000000000000000010000000000000000001100000010000000000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110010100011110000000010000000000000000000000000000000000010000000000000000010100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110010100011110000000010000000000000000000000000000000000010000000000000000010100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110010100011110000000010000000000000000000000000000000000010000000000000000010100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001010100011110000000010000000000000000000000000000000000010000000000000000010100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001010100011110000000010000000000000000000000000000000000010000000000000000000100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001010100011110000000010000000000000000000000000000000000010000000000000000000100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000001010100011110000000010000000000000000000000000000000000010000000000000000000100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101010100011110000000010000000000000000000000000000000000010000000000000000000100000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101010100011110000000010000000000000000000000000000000000010000000000000000011000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101010100011110000000010000000000000000000000000000000000010000000000000000011000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000101010100011110000000010000000000000000000000000000000000010000000000000000011000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011010100011110000000010000000000000000000000000000000000010000000000000000011000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011010100011110000000010000000000000000000000000000000000010000000000000000001000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011010100011110000000010000000000000000000000000000000000010000000000000000001000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000011010100011110000000010000000000000000000000000000000000010000000000000000001000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111010100011110000000010000000000000000000000000000000000010000000000000000001000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111010100011110000000010000000000000000000000000000000000010000000000000000010000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111010100011110000000010000000000000000000000000000000000010000000000000000010000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000111010100011110000000010000000000000000000000000000000000010000000000000000010000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000110100011110000000010000000000000000000000000000000000010000000000000000010000000010000010000000100100000000000010000110010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000000110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000100110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000010110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000000000000001000000000000000000001001110101000000011011000100001111000110110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000110110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000110110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000001110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000001110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000001110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000001110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000101110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000101110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000101110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000101110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000011110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000011110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000011110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000011110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000111110100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000001000000000000000000001001110101000000011011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000000001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000110001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000001001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000101001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000011001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000111001100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000000101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000100101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000010101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000100000000001000000000000000000001001110101000000011011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000110101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000001101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000101101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000011101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000111101100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000001000000000000000000001001110101000000011011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111011100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000001111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000101111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000011111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000111111100011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000000000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000100000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000010000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111111000000000100000000001000000000000000000001001110101000000011011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000110000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000001000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000101000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000011000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000111000010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000001000000000000000000001001110101000000011011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111100010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111010010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000001110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000101110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000011110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000111110010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000000001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000100001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000010001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101101000000000000000000000000000000010000000001001110101000000011011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000110001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000001001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000101001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000011001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000111001010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000000000000000010000000001001110101000000011011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000000101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000110101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000001101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000101101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000011101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000111101010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000000011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000100011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000010011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100111000000000000000000000000000000010000000001001110101000000011011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000110011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000001011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000101011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000011011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000111011010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000000000000000010000000001001110101000000011011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111111010011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010100111110110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000001000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000101000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000011000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000111000110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000000100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000100100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000010100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010000001111101000000000100000000000000000000010000000001001110101000000011011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000110100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000001100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000101100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000011100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000111100110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101101000000000100000000000000000000010000000001001110101000000011011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010101101010110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111010110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001000101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010010000000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111110110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001100101010000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000001000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000110110000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000001001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000101001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000011001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000111001110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000100100000000000010000110010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010000010000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000000101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010111111110010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000100101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000010101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010110101111000000000100000000000000000000010000000001001110101000000011011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000110101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000001101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000101101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000011101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000111101110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100111000000000100000000000000000000010000000001001110101000000011011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010001000001100101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010100101000010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000000011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000010000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000110011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000001011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000101011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000011011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000111011110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001010101010000000100010010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000000100110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000000111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000100111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000010111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010010010100101000000000000000000001000000000010000000001001110101000000011011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000110111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000001111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000101111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000011111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000111111110011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111101000000000000000000001000000000010000000001001110101000000011011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000001000001010101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010000011110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111000001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000000000010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001110101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010100111110110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000001100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000101100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000011100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000111100001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000000010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000100010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000010010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010000001111111000000000000000000001000000000010000000001001110101000000011011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000110010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000001010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000101010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000011010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000111010001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101111000000000000000000001000000000010000000001001110101000000011011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010101101010110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111110001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000001000001000101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010010000000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111001001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001100101010000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000001000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000110110000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000001101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000101101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000011101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000111101001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000100100000000000010000110010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010000010000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010000010000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000000011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010111111110010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000100011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000010011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010110101101000000000100000000001000000000010000000001001110101000000011011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000110011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000001011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000101011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000011011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000111011001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100101000000000100000000001000000000010000000001001110101000000011011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010001000001100101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010100101000010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000000111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000100111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000010111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000010000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000110111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000001111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000101111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000011111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000111111001011110000000010000000000000000000000000000000000010000000000000000000011010010000000000000001010101010000000100010010010010100111000000000100000000001000000000010000000001001110101000000011011000100001111000000000101011110000000010000000000000000000000000000000000010000000000000000000011010010</data>\r
           <extradata>1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111T111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111</extradata>\r
         </log>\r
       </trigger>\r
     </signal_set>\r
     <position_info>\r
       <single attribute="active tab" value="0"/>\r
-      <single attribute="data horizontal scroll position" value="0"/>\r
+      <single attribute="data horizontal scroll position" value="956"/>\r
       <single attribute="data vertical scroll position" value="0"/>\r
       <single attribute="setup horizontal scroll position" value="0"/>\r
-      <single attribute="setup vertical scroll position" value="0"/>\r
+      <single attribute="setup vertical scroll position" value="3"/>\r
       <single attribute="zoom level denominator" value="1"/>\r
-      <single attribute="zoom level numerator" value="1"/>\r
+      <single attribute="zoom level numerator" value="64"/>\r
       <single attribute="zoom offset denominator" value="1"/>\r
-      <single attribute="zoom offset numerator" value="122880"/>\r
+      <single attribute="zoom offset numerator" value="1920"/>\r
+      <multi attribute="timebars" size="1" value="1024"/>\r
     </position_info>\r
   </instance>\r
   <mnemonics/>\r
@@ -1636,6 +1737,7 @@ trigger;]]>
     <single attribute="hierarchy widget visible" value="0"/>\r
     <single attribute="instance widget visible" value="1"/>\r
     <single attribute="jtag widget visible" value="1"/>\r
+    <multi attribute="column width" size="23" value="34,34,131,74,68,70,88,88,98,98,88,88,110,101,101,101,101,101,101,101,101,107,146"/>\r
     <multi attribute="frame size" size="2" value="1920,1017"/>\r
     <multi attribute="jtag widget size" size="2" value="398,120"/>\r
   </global_info>\r
index ac5ec13..1ffb4fd 100644 (file)
@@ -108,7 +108,7 @@ set_global_assignment -name VHDL_FILE ppu/ppu.vhd
 #cpu\r
 set_global_assignment -name VHDL_FILE mem/prg_rom.vhd\r
 set_global_assignment -name VHDL_FILE mos6502.vhd\r
-#set_global_assignment -name VHDL_FILE dummy-mos6502.vhd\r
+#set_global_assignment -name VHDL_FILE "dummy-mos6502.vhd"\r
 #set_global_assignment -name VHDL_FILE "dummy-smb-rom.vhd"\r
 \r
 set_global_assignment -name VHDL_FILE de0_cv_nes.vhd\r
@@ -139,351 +139,344 @@ set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIP
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=8192" -section_id auto_signaltap_0\r
 set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=8192" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "apu:apu_inst|reg_spr_addr[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "apu:apu_inst|reg_spr_addr[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "apu:apu_inst|reg_spr_addr[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "apu:apu_inst|reg_spr_addr[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "apu:apu_inst|reg_spr_addr[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "apu:apu_inst|reg_spr_addr[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "apu:apu_inst|reg_spr_addr[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "apu:apu_inst|reg_spr_addr[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "apu:apu_inst|reg_spr_data[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "apu:apu_inst|reg_spr_data[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "apu:apu_inst|reg_spr_data[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "apu:apu_inst|reg_spr_data[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "apu:apu_inst|reg_spr_data[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "apu:apu_inst|reg_spr_data[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "apu:apu_inst|reg_spr_data[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "apu:apu_inst|reg_spr_data[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "apu:apu_inst|reg_spr_addr[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "apu:apu_inst|reg_spr_addr[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "apu:apu_inst|reg_spr_addr[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "apu:apu_inst|reg_spr_addr[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "apu:apu_inst|reg_spr_addr[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "apu:apu_inst|reg_spr_addr[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "apu:apu_inst|reg_spr_addr[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "apu:apu_inst|reg_spr_addr[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "apu:apu_inst|reg_spr_data[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "apu:apu_inst|reg_spr_data[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "apu:apu_inst|reg_spr_data[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "apu:apu_inst|reg_spr_data[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "apu:apu_inst|reg_spr_data[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "apu:apu_inst|reg_spr_data[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "apu:apu_inst|reg_spr_data[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "apu:apu_inst|reg_spr_data[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "mos6502:cpu_inst|reg_acc[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "mos6502:cpu_inst|reg_acc[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "mos6502:cpu_inst|reg_acc[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "mos6502:cpu_inst|reg_acc[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "mos6502:cpu_inst|reg_acc[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "mos6502:cpu_inst|reg_status[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "mos6502:cpu_inst|reg_status[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "mos6502:cpu_inst|reg_status[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "mos6502:cpu_inst|reg_status[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "mos6502:cpu_inst|reg_status[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "mos6502:cpu_inst|reg_status[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "mos6502:cpu_inst|reg_status[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "mos6502:cpu_inst|reg_status[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to pi_rst_n -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to po_exc_cnt[0] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to po_exc_cnt[10] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to po_exc_cnt[11] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to po_exc_cnt[12] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to po_exc_cnt[13] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to po_exc_cnt[14] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to po_exc_cnt[15] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to po_exc_cnt[16] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to po_exc_cnt[17] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to po_exc_cnt[18] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to po_exc_cnt[19] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to po_exc_cnt[1] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to po_exc_cnt[20] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to po_exc_cnt[21] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to po_exc_cnt[22] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to po_exc_cnt[23] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to po_exc_cnt[24] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to po_exc_cnt[25] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to po_exc_cnt[26] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to po_exc_cnt[27] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to po_exc_cnt[28] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to po_exc_cnt[29] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to po_exc_cnt[2] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to po_exc_cnt[30] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to po_exc_cnt[31] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to po_exc_cnt[32] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to po_exc_cnt[33] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to po_exc_cnt[34] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to po_exc_cnt[35] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to po_exc_cnt[36] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to po_exc_cnt[37] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to po_exc_cnt[38] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to po_exc_cnt[39] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to po_exc_cnt[3] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to po_exc_cnt[40] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to po_exc_cnt[41] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to po_exc_cnt[42] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to po_exc_cnt[43] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to po_exc_cnt[44] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to po_exc_cnt[45] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to po_exc_cnt[46] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to po_exc_cnt[47] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to po_exc_cnt[48] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to po_exc_cnt[49] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to po_exc_cnt[4] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to po_exc_cnt[50] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to po_exc_cnt[51] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to po_exc_cnt[52] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to po_exc_cnt[53] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to po_exc_cnt[54] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to po_exc_cnt[55] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to po_exc_cnt[56] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to po_exc_cnt[57] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to po_exc_cnt[58] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to po_exc_cnt[59] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to po_exc_cnt[5] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to po_exc_cnt[60] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to po_exc_cnt[61] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to po_exc_cnt[62] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to po_exc_cnt[63] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to po_exc_cnt[6] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to po_exc_cnt[7] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to po_exc_cnt[8] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to po_exc_cnt[9] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "ppu:ppu_inst|reg_oam_addr[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "ppu:ppu_inst|reg_oam_addr[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "ppu:ppu_inst|reg_oam_addr[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "ppu:ppu_inst|reg_oam_addr[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "ppu:ppu_inst|reg_oam_addr[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "ppu:ppu_inst|reg_oam_addr[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "ppu:ppu_inst|reg_oam_addr[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "ppu:ppu_inst|reg_oam_addr[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "ppu:ppu_inst|reg_oam_data[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "ppu:ppu_inst|reg_oam_data[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "ppu:ppu_inst|reg_oam_data[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "ppu:ppu_inst|reg_oam_data[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "ppu:ppu_inst|reg_oam_data[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "ppu:ppu_inst|reg_oam_data[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "ppu:ppu_inst|reg_oam_data[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "ppu:ppu_inst|reg_oam_data[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "render:render_inst|reg_h_sync_n" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "render:render_inst|reg_v_sync_n" -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=200" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to pi_rst_n -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to po_exc_cnt[0] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to po_exc_cnt[10] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to po_exc_cnt[11] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to po_exc_cnt[12] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to po_exc_cnt[13] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to po_exc_cnt[14] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to po_exc_cnt[15] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to po_exc_cnt[16] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to po_exc_cnt[17] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to po_exc_cnt[18] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to po_exc_cnt[19] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to po_exc_cnt[1] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to po_exc_cnt[20] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to po_exc_cnt[21] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to po_exc_cnt[22] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to po_exc_cnt[23] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to po_exc_cnt[24] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to po_exc_cnt[25] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to po_exc_cnt[26] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to po_exc_cnt[27] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to po_exc_cnt[28] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to po_exc_cnt[29] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to po_exc_cnt[2] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to po_exc_cnt[30] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to po_exc_cnt[31] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to po_exc_cnt[32] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to po_exc_cnt[33] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to po_exc_cnt[34] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to po_exc_cnt[35] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to po_exc_cnt[36] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to po_exc_cnt[37] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to po_exc_cnt[38] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to po_exc_cnt[39] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to po_exc_cnt[3] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to po_exc_cnt[40] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to po_exc_cnt[41] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to po_exc_cnt[42] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to po_exc_cnt[43] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to po_exc_cnt[44] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to po_exc_cnt[45] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to po_exc_cnt[46] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to po_exc_cnt[47] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to po_exc_cnt[48] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to po_exc_cnt[49] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to po_exc_cnt[4] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to po_exc_cnt[50] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to po_exc_cnt[51] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to po_exc_cnt[52] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to po_exc_cnt[53] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to po_exc_cnt[54] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to po_exc_cnt[55] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to po_exc_cnt[56] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to po_exc_cnt[57] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to po_exc_cnt[58] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to po_exc_cnt[59] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to po_exc_cnt[5] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to po_exc_cnt[60] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to po_exc_cnt[61] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to po_exc_cnt[62] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to po_exc_cnt[63] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to po_exc_cnt[6] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to po_exc_cnt[7] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to po_exc_cnt[8] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to po_exc_cnt[9] -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "ppu:ppu_inst|reg_oam_addr[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "ppu:ppu_inst|reg_oam_addr[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "ppu:ppu_inst|reg_oam_addr[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "ppu:ppu_inst|reg_oam_addr[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to "ppu:ppu_inst|reg_oam_addr[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to "ppu:ppu_inst|reg_oam_addr[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to "ppu:ppu_inst|reg_oam_addr[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to "ppu:ppu_inst|reg_oam_addr[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to "ppu:ppu_inst|reg_oam_data[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to "ppu:ppu_inst|reg_oam_data[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to "ppu:ppu_inst|reg_oam_data[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to "ppu:ppu_inst|reg_oam_data[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to "ppu:ppu_inst|reg_oam_data[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to "ppu:ppu_inst|reg_oam_data[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to "ppu:ppu_inst|reg_oam_data[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to "ppu:ppu_inst|reg_oam_data[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0\r
-set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0\r
-set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=140" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=447" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=54880" -section_id auto_signaltap_0\r
-set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=39213" -section_id auto_signaltap_0\r
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top\r
-set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp"
\ No newline at end of file
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to pi_rst_n -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to po_exc_cnt[0] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to po_exc_cnt[10] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to po_exc_cnt[11] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to po_exc_cnt[12] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to po_exc_cnt[13] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to po_exc_cnt[14] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to po_exc_cnt[15] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to po_exc_cnt[16] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to po_exc_cnt[17] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to po_exc_cnt[18] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to po_exc_cnt[19] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to po_exc_cnt[1] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to po_exc_cnt[20] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to po_exc_cnt[21] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to po_exc_cnt[22] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to po_exc_cnt[23] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to po_exc_cnt[24] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to po_exc_cnt[25] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to po_exc_cnt[26] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to po_exc_cnt[27] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to po_exc_cnt[28] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to po_exc_cnt[29] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to po_exc_cnt[2] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to po_exc_cnt[30] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to po_exc_cnt[31] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to po_exc_cnt[32] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to po_exc_cnt[33] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to po_exc_cnt[34] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to po_exc_cnt[35] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to po_exc_cnt[36] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to po_exc_cnt[37] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to po_exc_cnt[38] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to po_exc_cnt[39] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to po_exc_cnt[3] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to po_exc_cnt[40] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to po_exc_cnt[41] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to po_exc_cnt[42] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to po_exc_cnt[43] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to po_exc_cnt[44] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to po_exc_cnt[45] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to po_exc_cnt[46] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to po_exc_cnt[47] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to po_exc_cnt[48] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to po_exc_cnt[49] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to po_exc_cnt[4] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to po_exc_cnt[50] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to po_exc_cnt[51] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to po_exc_cnt[52] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to po_exc_cnt[53] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to po_exc_cnt[54] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to po_exc_cnt[55] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to po_exc_cnt[56] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to po_exc_cnt[57] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to po_exc_cnt[58] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to po_exc_cnt[59] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to po_exc_cnt[5] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to po_exc_cnt[60] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to po_exc_cnt[61] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to po_exc_cnt[62] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to po_exc_cnt[63] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to po_exc_cnt[6] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to po_exc_cnt[7] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to po_exc_cnt[8] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to po_exc_cnt[9] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "mos6502:cpu_inst|reg_acc[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "mos6502:cpu_inst|reg_acc[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "mos6502:cpu_inst|reg_acc[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "mos6502:cpu_inst|reg_acc[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "mos6502:cpu_inst|reg_acc[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "mos6502:cpu_inst|reg_acc[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "mos6502:cpu_inst|reg_acc[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "mos6502:cpu_inst|reg_acc[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "mos6502:cpu_inst|reg_addr[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "mos6502:cpu_inst|reg_addr[10]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "mos6502:cpu_inst|reg_addr[11]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "mos6502:cpu_inst|reg_addr[12]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "mos6502:cpu_inst|reg_addr[13]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "mos6502:cpu_inst|reg_addr[14]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "mos6502:cpu_inst|reg_addr[15]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "mos6502:cpu_inst|reg_addr[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "mos6502:cpu_inst|reg_addr[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "mos6502:cpu_inst|reg_addr[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "mos6502:cpu_inst|reg_addr[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "mos6502:cpu_inst|reg_addr[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "mos6502:cpu_inst|reg_addr[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "mos6502:cpu_inst|reg_addr[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "mos6502:cpu_inst|reg_addr[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "mos6502:cpu_inst|reg_addr[9]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "mos6502:cpu_inst|reg_d_in[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "mos6502:cpu_inst|reg_d_in[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "mos6502:cpu_inst|reg_d_in[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "mos6502:cpu_inst|reg_d_in[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "mos6502:cpu_inst|reg_d_in[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "mos6502:cpu_inst|reg_d_in[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "mos6502:cpu_inst|reg_d_in[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "mos6502:cpu_inst|reg_d_in[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "mos6502:cpu_inst|reg_d_out[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "mos6502:cpu_inst|reg_d_out[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "mos6502:cpu_inst|reg_d_out[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "mos6502:cpu_inst|reg_d_out[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "mos6502:cpu_inst|reg_d_out[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "mos6502:cpu_inst|reg_d_out[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "mos6502:cpu_inst|reg_d_out[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "mos6502:cpu_inst|reg_d_out[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "mos6502:cpu_inst|reg_inst[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "mos6502:cpu_inst|reg_inst[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "mos6502:cpu_inst|reg_inst[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "mos6502:cpu_inst|reg_inst[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "mos6502:cpu_inst|reg_inst[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "mos6502:cpu_inst|reg_inst[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "mos6502:cpu_inst|reg_inst[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "mos6502:cpu_inst|reg_inst[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to "render:render_inst|reg_spr0_hit" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to "render:render_inst|reg_spr_x[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to "render:render_inst|reg_spr_x[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to "render:render_inst|reg_spr_x[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to "render:render_inst|reg_spr_x[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to "render:render_inst|reg_spr_x[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to "render:render_inst|reg_spr_x[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to "render:render_inst|reg_spr_x[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "render:render_inst|reg_spr_x[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=117" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=378" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to pi_rst_n -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to po_exc_cnt[0] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to po_exc_cnt[10] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to po_exc_cnt[11] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to po_exc_cnt[12] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to po_exc_cnt[13] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to po_exc_cnt[14] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to po_exc_cnt[15] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to po_exc_cnt[16] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to po_exc_cnt[17] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to po_exc_cnt[18] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to po_exc_cnt[19] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to po_exc_cnt[1] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to po_exc_cnt[20] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to po_exc_cnt[21] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to po_exc_cnt[22] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to po_exc_cnt[23] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to po_exc_cnt[24] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to po_exc_cnt[25] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to po_exc_cnt[26] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to po_exc_cnt[27] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to po_exc_cnt[28] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to po_exc_cnt[29] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to po_exc_cnt[2] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to po_exc_cnt[30] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to po_exc_cnt[31] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to po_exc_cnt[32] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to po_exc_cnt[33] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to po_exc_cnt[34] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to po_exc_cnt[35] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to po_exc_cnt[36] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to po_exc_cnt[37] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to po_exc_cnt[38] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to po_exc_cnt[39] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to po_exc_cnt[3] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to po_exc_cnt[40] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to po_exc_cnt[41] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to po_exc_cnt[42] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to po_exc_cnt[43] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to po_exc_cnt[44] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to po_exc_cnt[45] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to po_exc_cnt[46] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to po_exc_cnt[47] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to po_exc_cnt[48] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to po_exc_cnt[49] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to po_exc_cnt[4] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to po_exc_cnt[50] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to po_exc_cnt[51] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to po_exc_cnt[52] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to po_exc_cnt[53] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to po_exc_cnt[54] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to po_exc_cnt[55] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to po_exc_cnt[56] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to po_exc_cnt[57] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to po_exc_cnt[58] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to po_exc_cnt[59] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to po_exc_cnt[5] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to po_exc_cnt[60] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to po_exc_cnt[61] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to po_exc_cnt[62] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to po_exc_cnt[63] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to po_exc_cnt[6] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to po_exc_cnt[7] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to po_exc_cnt[8] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to po_exc_cnt[9] -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "ppu:ppu_inst|reg_ppu_ctrl[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "ppu:ppu_inst|reg_ppu_ctrl[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "ppu:ppu_inst|reg_ppu_ctrl[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "ppu:ppu_inst|reg_ppu_ctrl[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "ppu:ppu_inst|reg_ppu_ctrl[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "ppu:ppu_inst|reg_ppu_ctrl[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "ppu:ppu_inst|reg_ppu_ctrl[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "ppu:ppu_inst|reg_ppu_ctrl[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "ppu:ppu_inst|reg_ppu_mask[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "ppu:ppu_inst|reg_ppu_mask[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "ppu:ppu_inst|reg_ppu_mask[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "ppu:ppu_inst|reg_ppu_mask[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "ppu:ppu_inst|reg_ppu_mask[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "ppu:ppu_inst|reg_ppu_mask[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "ppu:ppu_inst|reg_ppu_mask[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "ppu:ppu_inst|reg_ppu_mask[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "render:render_inst|reg_nes_x[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "render:render_inst|reg_nes_x[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "render:render_inst|reg_nes_x[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "render:render_inst|reg_nes_x[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "render:render_inst|reg_nes_x[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "render:render_inst|reg_nes_x[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "render:render_inst|reg_nes_x[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "render:render_inst|reg_nes_x[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "render:render_inst|reg_nes_x[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "render:render_inst|reg_nes_y[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "render:render_inst|reg_nes_y[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "render:render_inst|reg_nes_y[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "render:render_inst|reg_nes_y[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "render:render_inst|reg_nes_y[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "render:render_inst|reg_nes_y[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "render:render_inst|reg_nes_y[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "render:render_inst|reg_nes_y[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "render:render_inst|reg_nes_y[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "render:render_inst|reg_ppu_status[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "render:render_inst|reg_ppu_status[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "render:render_inst|reg_ppu_status[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "render:render_inst|reg_ppu_status[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "render:render_inst|reg_ppu_status[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "render:render_inst|reg_ppu_status[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "render:render_inst|reg_ppu_status[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "render:render_inst|reg_ppu_status[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "render:render_inst|reg_sft_ptn_h[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "render:render_inst|reg_sft_ptn_h[10]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "render:render_inst|reg_sft_ptn_h[11]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "render:render_inst|reg_sft_ptn_h[12]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "render:render_inst|reg_sft_ptn_h[13]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "render:render_inst|reg_sft_ptn_h[14]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "render:render_inst|reg_sft_ptn_h[15]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "render:render_inst|reg_sft_ptn_h[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "render:render_inst|reg_sft_ptn_h[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "render:render_inst|reg_sft_ptn_h[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "render:render_inst|reg_sft_ptn_h[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "render:render_inst|reg_sft_ptn_h[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "render:render_inst|reg_sft_ptn_h[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "render:render_inst|reg_sft_ptn_h[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "render:render_inst|reg_sft_ptn_h[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "render:render_inst|reg_sft_ptn_h[9]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "render:render_inst|reg_sft_ptn_l[0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "render:render_inst|reg_sft_ptn_l[10]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "render:render_inst|reg_sft_ptn_l[11]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "render:render_inst|reg_sft_ptn_l[12]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "render:render_inst|reg_sft_ptn_l[13]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "render:render_inst|reg_sft_ptn_l[14]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "render:render_inst|reg_sft_ptn_l[15]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "render:render_inst|reg_sft_ptn_l[1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "render:render_inst|reg_sft_ptn_l[2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "render:render_inst|reg_sft_ptn_l[3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "render:render_inst|reg_sft_ptn_l[4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "render:render_inst|reg_sft_ptn_l[5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "render:render_inst|reg_sft_ptn_l[6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "render:render_inst|reg_sft_ptn_l[7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "render:render_inst|reg_sft_ptn_l[8]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "render:render_inst|reg_sft_ptn_l[9]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "render:render_inst|reg_spr0_eval" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "render:render_inst|reg_spr0_hit" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "render:render_inst|reg_spr_ptn_h[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "render:render_inst|reg_spr_ptn_h[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "render:render_inst|reg_spr_ptn_h[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "render:render_inst|reg_spr_ptn_h[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "render:render_inst|reg_spr_ptn_h[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "render:render_inst|reg_spr_ptn_h[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "render:render_inst|reg_spr_ptn_h[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "render:render_inst|reg_spr_ptn_h[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "render:render_inst|reg_spr_ptn_l[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "render:render_inst|reg_spr_ptn_l[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "render:render_inst|reg_spr_ptn_l[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to "render:render_inst|reg_spr_ptn_l[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to "render:render_inst|reg_spr_ptn_l[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to "render:render_inst|reg_spr_ptn_l[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to "render:render_inst|reg_spr_ptn_l[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to "render:render_inst|reg_spr_ptn_l[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to "render:render_inst|reg_spr_x[0][0]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to "render:render_inst|reg_spr_x[0][1]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to "render:render_inst|reg_spr_x[0][2]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to "render:render_inst|reg_spr_x[0][3]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[209] -to "render:render_inst|reg_spr_x[0][4]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[210] -to "render:render_inst|reg_spr_x[0][5]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[211] -to "render:render_inst|reg_spr_x[0][6]" -section_id auto_signaltap_0\r
+set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[212] -to "render:render_inst|reg_spr_x[0][7]" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to "mos6502:cpu_inst|reg_oe_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[214] -to "mos6502:cpu_inst|reg_we_n" -section_id auto_signaltap_0\r
+set_instance_assignment -name POST_FIT_CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[215] -to "ppu:ppu_inst|reg_vblank_n" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=216" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=11560" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=19580" -section_id auto_signaltap_0\r
+set_global_assignment -name SLD_FILE "D:/daisuke/nes/repo/motonesfpga/de0_cv_nes/de0-cv-debug-analyze-all_auto_stripped.stp"\r
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file