OSDN Git Service

33bae9b728ea741fc32edb43ea34e469df79ea38
[csp-qt/common_source_project-fm7.git] / source / src / vm / pc98ha / pc98ha.cpp
1 /*
2         NEC PC-98LT Emulator 'ePC-98LT'
3         NEC PC-98HA Emulator 'eHANDY98'
4
5         Author : Takeda.Toshiya
6         Date   : 2008.06.09 -
7
8         [ virtual machine ]
9 */
10
11 #include "pc98ha.h"
12 #include "../../emu.h"
13 #include "../device.h"
14 #include "../event.h"
15
16 #include "../beep.h"
17 #include "../i8251.h"
18 #include "../i8253.h"
19 #include "../i8255.h"
20 #include "../i8259.h"
21 //#if defined(HAS_V30) || defined(HAS_I86)
22 //# include "../i86.h"
23 //#else
24 # include "../i286.h"
25 //#endif
26 #include "../io.h"
27 #include "../noise.h"
28 #include "../not.h"
29 //#include "../pcpr201.h"
30 #include "../prnfile.h"
31 #ifdef _PC98HA
32 #include "../upd4991a.h"
33 #else
34 #include "../upd1990a.h"
35 #endif
36 #include "../upd71071.h"
37 #include "../upd765a.h"
38
39 #ifdef USE_DEBUGGER
40 #include "../debugger.h"
41 #endif
42
43 #include "bios.h"
44 #include "calendar.h"
45 #include "floppy.h"
46 #include "keyboard.h"
47 #include "memory.h"
48 #include "note.h"
49
50 // ----------------------------------------------------------------------------
51 // initialize
52 // ----------------------------------------------------------------------------
53
54 VM::VM(EMU* parent_emu) : VM_TEMPLATE(parent_emu)
55 {
56         // create devices
57         first_device = last_device = NULL;
58         dummy = new DEVICE(this, emu);  // must be 1st device
59         event = new EVENT(this, emu);   // must be 2nd device
60         dummy->set_device_name(_T("1st Dummy"));
61         
62         beep = new BEEP(this, emu);
63         sio_rs = new I8251(this, emu);  // for rs232c
64         sio_rs->set_device_name(_T("8251 SIO (RS-232C)"));
65         sio_kbd = new I8251(this, emu); // for keyboard
66         sio_kbd->set_device_name(_T("8251 SIO (Keyboard)"));
67         pit = new I8253(this, emu);     // V50 internal
68         pio_sys = new I8255(this, emu); // for system port
69         pio_sys->set_device_name(_T("8255 PIO (System)"));
70         pio_prn = new I8255(this, emu); // for printer
71         pio_prn->set_device_name(_T("8251 PIO (Printer)"));
72         pic = new I8259(this, emu);     // V50 internal
73 //#if defined(HAS_V30) || defined(HAS_I86)
74 //      cpu = new I86(this, emu);       // V50
75 //#else
76         cpu = new I286(this, emu);      // V50
77 //#endif
78         io = new IO(this, emu);
79         not_busy = new NOT(this, emu);
80 #ifdef _PC98HA
81         rtc = new UPD4991A(this, emu);
82 #else
83         rtc = new UPD1990A(this, emu);
84 #endif
85         dma = new UPD71071(this, emu);  // V50 internal
86         fdc = new UPD765A(this, emu);
87         fdc->set_context_noise_seek(new NOISE(this, emu));
88         fdc->set_context_noise_head_down(new NOISE(this, emu));
89         fdc->set_context_noise_head_up(new NOISE(this, emu));
90         pic->set_device_name(_T("V50 PIC(i8259 COMPATIBLE)"));
91         cpu->set_device_name(_T("CPU (V50)"));
92         not_busy->set_device_name(_T("NOT GATE(PRINTER BUSY)"));
93         
94         if(config.printer_type == 0) {
95                 printer = new PRNFILE(this, emu);
96 //      } else if(config.printer_type == 1) {
97 //              printer = new PCPR201(this, emu);
98         } else {
99                 printer = dummy;
100         }
101         
102         bios = new BIOS(this, emu);
103         calendar = new CALENDAR(this, emu);
104         floppy = new FLOPPY(this, emu);
105         keyboard = new KEYBOARD(this, emu);
106         memory = new MEMORY(this, emu);
107         note = new NOTE(this, emu);
108         // set contexts
109         event->set_context_cpu(cpu);
110         event->set_context_sound(beep);
111         event->set_context_sound(fdc->get_context_noise_seek());
112         event->set_context_sound(fdc->get_context_noise_head_down());
113         event->set_context_sound(fdc->get_context_noise_head_up());
114 //???   sio_rs->set_context_rxrdy(pic, SIG_I8259_IR4, 1);
115         sio_kbd->set_context_rxrdy(pic, SIG_I8259_IR1, 1);
116 //      sio_kbd->set_context_out(keyboard, SIG_KEYBOARD_RECV);
117         pit->set_context_ch0(pic, SIG_I8259_IR0, 1);
118         pit->set_context_ch1(pic, SIG_I8259_IR2, 1);
119 #ifdef _PC98HA
120         pit->set_constant_clock(0, 2457600);
121         pit->set_constant_clock(1, 2457600);
122         pit->set_constant_clock(2, 2457600);
123 #else
124         pit->set_constant_clock(0, 1996800);
125         pit->set_constant_clock(1, 300);        // ???
126         pit->set_constant_clock(2, 1996800);
127 #endif
128         pio_sys->set_context_port_c(beep, SIG_BEEP_MUTE, 8, 0);
129         pio_prn->set_context_port_a(printer, SIG_PRINTER_DATA, 0xff, 0);
130         pio_prn->set_context_port_c(printer, SIG_PRINTER_STROBE, 0x80, 0);
131         if(config.printer_type == 0) {
132                 PRNFILE *prnfile = (PRNFILE *)printer;
133                 prnfile->set_context_busy(not_busy, SIG_NOT_INPUT, 1);
134 //      } else if(config.printer_type == 1) {
135 //              PRNFILE *pcpr201 = (PCPR201 *)printer;
136 //              pcpr201->set_context_busy(not_busy, SIG_NOT_INPUT, 1);
137         }
138         not_busy->set_context_out(pio_prn, SIG_I8255_PORT_B, 4);
139         pic->set_context_cpu(cpu);
140 #ifdef _PC98LT
141         rtc->set_context_dout(pio_sys, SIG_I8255_PORT_B, 1);
142 #endif
143         dma->set_context_memory(memory);
144         dma->set_context_ch2(fdc);      // 1MB
145         dma->set_context_ch3(fdc);      // 640KB
146         fdc->set_context_irq(pic, SIG_I8259_IR6, 1);
147         fdc->set_context_drq(dma, SIG_UPD71071_CH3, 1);
148         fdc->raise_irq_when_media_changed = true;
149         
150         bios->set_context_fdc(fdc);
151         calendar->set_context_rtc(rtc);
152         floppy->set_context_fdc(fdc);
153         keyboard->set_context_sio(sio_kbd);
154         note->set_context_pic(pic);
155         
156         // cpu bus
157         cpu->set_context_bios(bios);
158         cpu->set_context_mem(memory);
159         cpu->set_context_io(io);
160         cpu->set_context_intr(pic);
161 #ifdef SINGLE_MODE_DMA
162         cpu->set_context_dma(dma);
163 #endif
164 #ifdef USE_DEBUGGER
165         cpu->set_context_debugger(new DEBUGGER(this, emu));
166 #endif
167         
168         // i/o bus
169         io->set_iomap_alias_rw(0x00, pic, 0);
170         io->set_iomap_alias_rw(0x02, pic, 1);
171 #ifdef _PC98HA
172         io->set_iomap_range_rw(0x22, 0x23, calendar);
173 #else
174         io->set_iomap_single_w(0x20, calendar);
175 #endif
176         io->set_iomap_alias_rw(0x30, sio_rs, 0);
177         io->set_iomap_alias_rw(0x32, sio_rs, 1);
178         io->set_iomap_alias_rw(0x31, pio_sys, 0);
179         io->set_iomap_alias_rw(0x33, pio_sys, 1);
180         io->set_iomap_alias_rw(0x35, pio_sys, 2);
181         io->set_iomap_alias_rw(0x37, pio_sys, 3);
182         io->set_iomap_alias_rw(0x40, pio_prn, 0);
183         io->set_iomap_alias_rw(0x42, pio_prn, 1);
184         io->set_iomap_alias_rw(0x44, pio_prn, 2);
185         io->set_iomap_alias_rw(0x46, pio_prn, 3);
186         io->set_iomap_alias_rw(0x41, sio_kbd, 0);
187         io->set_iomap_alias_rw(0x43, sio_kbd, 1);
188         io->set_iomap_alias_rw(0x71, pit, 0);
189         io->set_iomap_alias_rw(0x73, pit, 1);
190         io->set_iomap_alias_rw(0x75, pit, 2);
191         io->set_iomap_alias_rw(0x77, pit, 3);
192 #if defined(_PC98LT) || defined(DOCKING_STATION)
193         io->set_iomap_single_r(0xc8, floppy);
194         io->set_iomap_single_rw(0xca, floppy);
195         io->set_iomap_single_rw(0xcc, floppy);
196         io->set_iomap_single_rw(0xbe, floppy);
197 #endif
198         io->set_iomap_range_rw(0xe0, 0xef, dma);
199         io->set_iomap_single_w(0x8e1, memory);
200         io->set_iomap_single_w(0x8e3, memory);
201         io->set_iomap_single_w(0x8e5, memory);
202         io->set_iomap_single_w(0x8e7, memory);
203         io->set_iomap_single_rw(0x0c10, memory);
204         io->set_iomap_single_w(0x0e8e, memory);
205         io->set_iomap_single_w(0x1e8e, memory);
206         io->set_iomap_single_rw(0x4c10, memory);
207         io->set_iomap_single_rw(0x8c10, memory);
208         io->set_iomap_single_rw(0xcc10, memory);
209         io->set_iomap_single_rw(0x0810, note);
210         io->set_iomap_single_rw(0x0812, note);
211         io->set_iomap_single_r(0x0f8e, note);
212         io->set_iomap_single_r(0x5e8e, note);
213         io->set_iomap_single_rw(0x8810, note);
214         io->set_iomap_single_w(0xc810, note);
215         
216         // initialize all devices
217         for(DEVICE* device = first_device; device; device = device->next_device) {
218                 device->initialize();
219         }
220         decl_state();
221 }
222
223 VM::~VM()
224 {
225         // delete all devices
226         for(DEVICE* device = first_device; device;) {
227                 DEVICE *next_device = device->next_device;
228                 device->release();
229                 delete device;
230                 device = next_device;
231         }
232 }
233
234 DEVICE* VM::get_device(int id)
235 {
236         for(DEVICE* device = first_device; device; device = device->next_device) {
237                 if(device->this_device_id == id) {
238                         return device;
239                 }
240         }
241         return NULL;
242 }
243
244 // ----------------------------------------------------------------------------
245 // drive virtual machine
246 // ----------------------------------------------------------------------------
247
248 void VM::reset()
249 {
250         // reset all devices
251         for(DEVICE* device = first_device; device; device = device->next_device) {
252                 device->reset();
253         }
254         
255         // initial device settings
256         pio_sys->write_signal(SIG_I8255_PORT_A, 0xe3, 0xff);
257         pio_sys->write_signal(SIG_I8255_PORT_B, 0xe0, 0xff);
258 #ifdef _PC98HA
259         pio_prn->write_signal(SIG_I8255_PORT_B, 0xde, 0xff);
260 #else
261         pio_prn->write_signal(SIG_I8255_PORT_B, 0xfc, 0xff);
262 #endif
263         beep->write_signal(SIG_BEEP_ON, 1, 1);
264         beep->write_signal(SIG_BEEP_MUTE, 1, 1);
265 }
266
267 void VM::run()
268 {
269         event->drive();
270 }
271
272 // ----------------------------------------------------------------------------
273 // debugger
274 // ----------------------------------------------------------------------------
275
276 #ifdef USE_DEBUGGER
277 DEVICE *VM::get_cpu(int index)
278 {
279         if(index == 0) {
280                 return cpu;
281         }
282         return NULL;
283 }
284 #endif
285
286 // ----------------------------------------------------------------------------
287 // draw screen
288 // ----------------------------------------------------------------------------
289
290 void VM::draw_screen()
291 {
292         memory->draw_screen();
293 }
294
295 // ----------------------------------------------------------------------------
296 // soud manager
297 // ----------------------------------------------------------------------------
298
299 void VM::initialize_sound(int rate, int samples)
300 {
301         // init sound manager
302         event->initialize_sound(rate, samples);
303         
304         // init sound gen
305         beep->initialize_sound(rate, 2400, 8000);
306 }
307
308 uint16_t* VM::create_sound(int* extra_frames)
309 {
310         return event->create_sound(extra_frames);
311 }
312
313 int VM::get_sound_buffer_ptr()
314 {
315         return event->get_sound_buffer_ptr();
316 }
317
318 #ifdef USE_SOUND_VOLUME
319 void VM::set_sound_device_volume(int ch, int decibel_l, int decibel_r)
320 {
321         if(ch == 0) {
322                 beep->set_volume(0, decibel_l, decibel_r);
323         } else if(ch == 1) {
324                 fdc->get_context_noise_seek()->set_volume(0, decibel_l, decibel_r);
325                 fdc->get_context_noise_head_down()->set_volume(0, decibel_l, decibel_r);
326                 fdc->get_context_noise_head_up()->set_volume(0, decibel_l, decibel_r);
327         }
328 }
329 #endif
330
331 // ----------------------------------------------------------------------------
332 // notify key
333 // ----------------------------------------------------------------------------
334
335 void VM::key_down(int code, bool repeat)
336 {
337         keyboard->key_down(code);
338 }
339
340 void VM::key_up(int code)
341 {
342         keyboard->key_up(code);
343 }
344
345 bool VM::get_caps_locked()
346 {
347         return keyboard->get_caps_locked();
348 }
349
350 bool VM::get_kana_locked()
351 {
352         return keyboard->get_kana_locked();
353 }
354
355 // ----------------------------------------------------------------------------
356 // user interface
357 // ----------------------------------------------------------------------------
358
359 void VM::open_floppy_disk(int drv, const _TCHAR* file_path, int bank)
360 {
361         fdc->open_disk(drv, file_path, bank);
362 }
363
364 void VM::close_floppy_disk(int drv)
365 {
366         fdc->close_disk(drv);
367 }
368
369 bool VM::is_floppy_disk_inserted(int drv)
370 {
371         return fdc->is_disk_inserted(drv);
372 }
373
374 void VM::is_floppy_disk_protected(int drv, bool value)
375 {
376         fdc->is_disk_protected(drv, value);
377 }
378
379 bool VM::is_floppy_disk_protected(int drv)
380 {
381         return fdc->is_disk_protected(drv);
382 }
383
384 uint32_t VM::is_floppy_disk_accessed()
385 {
386         return fdc->read_signal(0);
387 }
388
389 bool VM::is_frame_skippable()
390 {
391         return event->is_frame_skippable();
392 }
393
394 void VM::update_config()
395 {
396         for(DEVICE* device = first_device; device; device = device->next_device) {
397                 device->update_config();
398         }
399 }
400
401 #define STATE_VERSION   6
402
403 #include "../../statesub.h"
404 #include "../../qt/gui/csp_logger.h"
405 extern CSP_Logger DLL_PREFIX_I *csp_logger;
406
407 void VM::decl_state(void)
408 {
409 #if defined(_PC98HA)
410         state_entry = new csp_state_utils(STATE_VERSION, 0, (_TCHAR *)(_T("CSP::PC_98HA_HEAD")), csp_logger);
411 #elif defined(_PC98LT)
412         state_entry = new csp_state_utils(STATE_VERSION, 0, (_TCHAR *)(_T("CSP::PC_98LT_HEAD")), csp_logger);
413 #else
414         state_entry = new csp_state_utils(STATE_VERSION, 0, (_TCHAR *)(_T("CSP::PC_98LT_SERIES_HEAD")), csp_logger);
415 #endif
416
417         for(DEVICE* device = first_device; device; device = device->next_device) {
418                 device->decl_state();
419         }
420 }
421
422 void VM::save_state(FILEIO* state_fio)
423 {
424         //state_fio->FputUint32(STATE_VERSION);
425         
426         if(state_entry != NULL) {
427                 state_entry->save_state(state_fio);
428         }
429         for(DEVICE* device = first_device; device; device = device->next_device) {
430                 device->save_state(state_fio);
431         }
432 }
433
434 bool VM::load_state(FILEIO* state_fio)
435 {
436         //if(state_fio->FgetUint32() != STATE_VERSION) {
437         //      return false;
438         //}
439         bool mb = false;
440         if(state_entry != NULL) {
441                 mb = state_entry->load_state(state_fio);
442         }
443         if(!mb) {
444                 emu->out_debug_log("INFO: HEADER DATA ERROR");
445                 return false;
446         }
447         for(DEVICE* device = first_device; device; device = device->next_device) {
448                 if(!device->load_state(state_fio)) {
449                         return false;
450                 }
451         }
452         return true;
453 }
454